Fix a few reset issues in flash controller
authorAnton Blanchard <anton@linux.ibm.com>
Sat, 12 Dec 2020 02:19:52 +0000 (13:19 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Sat, 12 Dec 2020 02:23:28 +0000 (13:23 +1100)
commit7c8bc85e44af03c31600ee434ff579d762076b4b
treea9e7b22b289a9bbe1c942b053a3bfbed6bd52d05
parent081dc64d39a150677ab37e711a5bfff980370bb3
Fix a few reset issues in flash controller

Our flash controller fails when simulating with iverilog. Looking
closer, both wb_stash and auto_last_addr are X state, and things
fall apart after they get used.

Initialise them both fixes the iverilog issue.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
spi_flash_ctrl.vhdl