core: Send FPU interrupts to writeback rather than execute1
authorPaul Mackerras <paulus@ozlabs.org>
Wed, 23 Dec 2020 02:57:40 +0000 (13:57 +1100)
committerPaul Mackerras <paulus@ozlabs.org>
Tue, 19 Jan 2021 01:16:19 +0000 (12:16 +1100)
commitacb3d2d7455dfb9b1813f406f45cb314fba2e34e
treea41d0549c63f684e1e36f51838b5c93f9f85062a
parent29221315e90120cd5bb134d8035803fa2d829e32
core: Send FPU interrupts to writeback rather than execute1

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
common.vhdl
execute1.vhdl
fpu.vhdl
loadstore1.vhdl
writeback.vhdl