yosys: Use read_verilog
authorJoel Stanley <joel@jms.id.au>
Mon, 21 Feb 2022 13:56:25 +0000 (07:56 -0600)
committerRaptor Engineering Development Team <support@raptorengineering.com>
Tue, 22 Feb 2022 21:03:48 +0000 (15:03 -0600)
commitb70a6177f68880cc3724c444d3771d24d7be36ef
treec6d1843dbe585c31c268a446cc61a397163c510b
parent6d827b93580684e5977f5d7340ed128521161f42
yosys: Use read_verilog

Yosys changed it's command line behaviour following the v0.13 release.
Work around this by using read_verilog, which maintains the old
behaviour.

This should work fine for current yosys and be compatible with
future releases.

See https://github.com/YosysHQ/yosys/issues/3109

Signed-off-by: Joel Stanley <joel@jms.id.au>
Makefile