Reset cmd_ready_o in spi_txrx
authorAnton Blanchard <anton@linux.ibm.com>
Sun, 3 Jan 2021 18:44:23 +0000 (05:44 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Sun, 3 Jan 2021 18:44:23 +0000 (05:44 +1100)
commitbf9a446e3f7f571eef5b67df482f20e2e3582413
treeb4f4730f4077aa6f4f5066c8bb0e1e672103dc6a
parent39c826aa46a9dd80a12b572373c55d6156c4df07
Reset cmd_ready_o in spi_txrx

Initialize bit_count so that cmd_ready_o isn't X state immediately
after reset.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
spi_rxtx.vhdl