Remove useless _blinky.build_and_program() function.
authorwhitequark <whitequark@whitequark.org>
Sun, 4 Aug 2019 09:35:14 +0000 (09:35 +0000)
committerwhitequark <whitequark@whitequark.org>
Sun, 4 Aug 2019 09:35:14 +0000 (09:35 +0000)
commit6fd7735f77b9a5d7171b3517293af745c6f04801
tree2938e9845a683a682d0fe1794cf6bdac413858c3
parent2cf8599c4a3ef4ae9efa9f1485e836f90ba6fb39
Remove useless _blinky.build_and_program() function.
nmigen_boards/_blinky.py
nmigen_boards/blackice.py
nmigen_boards/blackice_ii.py
nmigen_boards/ice40_hx1k_blink_evn.py
nmigen_boards/ice40_hx8k_b_evn.py
nmigen_boards/icestick.py
nmigen_boards/kc705.py
nmigen_boards/tinyfpga_bx.py
nmigen_boards/versa_ecp5.py
nmigen_boards/versa_ecp5_5g.py