write-enable sram into common wen signal, use that to enable wen from sel
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 26 Jun 2020 17:54:41 +0000 (18:54 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 26 Jun 2020 17:54:41 +0000 (18:54 +0100)
commit87c34e8c810dc4f148be1af8b238b610dc808141
tree7a57f4e99894d4d882a48c675412cab1e9e69c35
parenta79def076ad4979cefc2047b8001a8a12df0ea64
write-enable sram into common wen signal, use that to enable wen from sel
nmigen_soc/wishbone/sram.py