csr.bus: add CSRElement and CSRMultiplexer.
authorwhitequark <whitequark@whitequark.org>
Mon, 21 Oct 2019 15:05:24 +0000 (15:05 +0000)
committerwhitequark <whitequark@whitequark.org>
Mon, 21 Oct 2019 15:05:31 +0000 (15:05 +0000)
commitdc918fc20b667c24bd91cf2b9b2e6ef6c69e12e0
treee57de4d3a26b302b07bed267da04446a9706a446
parent1f20170dbe32eb23e5dd1103ae8cb267c41d7b71
csr.bus: add CSRElement and CSRMultiplexer.
.gitignore
nmigen_soc/csr/__init__.py [new file with mode: 0644]
nmigen_soc/csr/bus.py [new file with mode: 0644]
nmigen_soc/test/__init__.py [new file with mode: 0644]
nmigen_soc/test/test_csr_bus.py [new file with mode: 0644]