vendor.xilinx_{7series,ultrascale}: add SIM_DEVICE parameter.
authorwhitequark <whitequark@whitequark.org>
Wed, 22 Jul 2020 02:13:10 +0000 (02:13 +0000)
committerwhitequark <whitequark@whitequark.org>
Thu, 23 Jul 2020 16:38:28 +0000 (16:38 +0000)
commitc75fa45fd80063ad5681f389fb038d6d98f4f0a0
tree93ebb93140d599661c562945322ee3d6fd062f5d
parentf7a8fcc94c59907d144cde194194018d98386129
vendor.xilinx_{7series,ultrascale}: add SIM_DEVICE parameter.

The parameter defaults to "ULTRASCALE", even when synthesizing for
7-series devices. This could lead to a simulation/synthesis mismatch,
and causes a warning.

Fixes #438.
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_ultrascale.py