tidy up flexbus interface
[shakti-peripherals.git] / src / peripherals / flexbus / FlexBus_Types.bsv
index a21ccf5e6aef5c49179284700dabb07776cacbf4..9e3533e40df248ba780b3f1c95597a4d7451ba98 100644 (file)
@@ -67,19 +67,19 @@ interface FlexBus_Master_IFC;
    // FlexBus External Signals
   
    // AD inout bus separate for now in BSV
-   interface Get#(Bit#(32))  m_AD;         // out
-   interface Put#(Bit#(32)) m_din;         // in
+   interface Get#(Bit#(32)) AD;      // out
+   interface Put#(Bit#(32)) din;     // in
 
-   interface Get#(Bit#(1))   m_R_Wn;       // out
-   interface Get#(Bit#(2))   m_TSIZ;       // out
+   interface Get#(Bit#(1))  R_Wn;    // out
+   interface Get#(Bit#(2))  TSIZ;    // out
 
-   interface Get#(Bit#(6))   m_FBCSn;      // out
-   interface Get#(Bit#(4))   m_BE_BWEn;    // out
-   interface Get#(Bit#(1))   m_TBSTn;      // out
-   interface Get#(Bit#(1))   m_OEn;        // out
+   interface Get#(Bit#(6))  FBCSn;   // out
+   interface Get#(Bit#(4))  BWEn; // out
+   interface Get#(Bit#(1))  TBSTn;   // out
+   interface Get#(Bit#(1))  OEn;     // out
 
-   interface Get#(Bit#(1))   m_ALE;        // out
-   interface Put#(Bit#(1))   tAn;          // in
+   interface Get#(Bit#(1))  ALE;     // out
+   interface Put#(Bit#(1))  tAn;     // in
 
 endinterface: FlexBus_Master_IFC
 
@@ -1079,55 +1079,55 @@ interface flexbus_side = interface FlexBus_Master_IFC;
         endmethod
     endinterface;
 
-    interface m_din = interface Put
+    interface din = interface Put
         method Action put(Bit#(32) in) if(c_din[0] matches tagged Invalid);
             c_din[0] <= tagged Valid in;
         endmethod
     endinterface;
 
-    interface m_AD = interface Get
+    interface AD = interface Get
         method ActionValue#(Bit#(32)) get;
             return r_AD;
         endmethod
     endinterface;
 
-    interface m_R_Wn = interface Get
+    interface R_Wn = interface Get
         method ActionValue#(Bit#(1)) get;
             return r_R_Wn;
         endmethod
     endinterface;
 
-    interface m_TSIZ = interface Get
+    interface TSIZ = interface Get
         method ActionValue#(Bit#(2)) get;
             return r_TSIZ;
         endmethod
     endinterface;
 
-    interface m_FBCSn = interface Get
+    interface FBCSn = interface Get
         method ActionValue#(Bit#(6)) get;
             return r_FBCSn;
         endmethod
     endinterface;
 
-    interface m_BE_BWEn = interface Get
+    interface BWEn = interface Get
         method ActionValue#(Bit#(4)) get;
             return r_BE_BWEn;
         endmethod
     endinterface;
 
-    interface m_TBSTn = interface Get
+    interface TBSTn = interface Get
         method ActionValue#(Bit#(1)) get;
             return r_TBSTn;
         endmethod
     endinterface;
 
-    interface m_OEn = interface Get
+    interface OEn = interface Get
         method ActionValue#(Bit#(1)) get;
             return r_OEn;
         endmethod
     endinterface;
 
-    interface m_ALE = interface Get
+    interface ALE = interface Get
         method ActionValue#(Bit#(1)) get;
             return r_ALE;
         endmethod