From: Luke Kenneth Casson Leighton Date: Sat, 28 Jul 2018 09:11:32 +0000 (+0100) Subject: user PADDR in peripherals not ADDR X-Git-Url: https://git.libre-soc.org/?p=shakti-peripherals.git;a=commitdiff_plain;h=08e9924a02af2236c47a150d25666a7d42603848 user PADDR in peripherals not ADDR --- diff --git a/src/peripherals/gpio/gpio.bsv b/src/peripherals/gpio/gpio.bsv index 64f76fc..df55b67 100644 --- a/src/peripherals/gpio/gpio.bsv +++ b/src/peripherals/gpio/gpio.bsv @@ -56,7 +56,7 @@ package gpio; interface GPIO#(numeric type ionum); interface GPIO_config#(ionum) pad_config; interface GPIO_func#(ionum) func; - interface AXI4_Lite_Slave_IFC#(`ADDR,`DATA,`USERSPACE) axi_slave; + interface AXI4_Lite_Slave_IFC#(`PADDR,`DATA,`USERSPACE) axi_slave; endinterface module mkgpio(GPIO#(ionum_)); @@ -74,7 +74,7 @@ package gpio; Vector#(ionum_,ConfigReg#(Bit#(1))) pwrupzhl_reg <-replicateM(mkConfigReg(0)); Vector#(ionum_,ConfigReg#(Bit#(1))) pwrup_pull_en_reg <-replicateM(mkConfigReg(0)); - AXI4_Lite_Slave_Xactor_IFC #(`ADDR, `DATA, `USERSPACE) s_xactor <- mkAXI4_Lite_Slave_Xactor; + AXI4_Lite_Slave_Xactor_IFC #(`PADDR, `DATA, `USERSPACE) s_xactor <- mkAXI4_Lite_Slave_Xactor; rule rl_wr_respond; // Get the wr request let aw <- pop_o (s_xactor.o_wr_addr); diff --git a/src/peripherals/mux/mux.bsv b/src/peripherals/mux/mux.bsv index cb67458..d7ff5f6 100644 --- a/src/peripherals/mux/mux.bsv +++ b/src/peripherals/mux/mux.bsv @@ -31,14 +31,14 @@ package mux; interface MUX#(numeric type ionum); interface MUX_config#(ionum) mux_config; - interface AXI4_Lite_Slave_IFC#(`ADDR,`DATA,`USERSPACE) axi_slave; + interface AXI4_Lite_Slave_IFC#(`PADDR,`DATA,`USERSPACE) axi_slave; endinterface // (*synthesize*) module mkmux(MUX#(ionum_)); Vector#(ionum_,ConfigReg#(Bit#(2))) muxer_reg <-replicateM(mkConfigReg(0)); - AXI4_Lite_Slave_Xactor_IFC #(`ADDR, `DATA, `USERSPACE) s_xactor <- mkAXI4_Lite_Slave_Xactor; + AXI4_Lite_Slave_Xactor_IFC #(`PADDR, `DATA, `USERSPACE) s_xactor <- mkAXI4_Lite_Slave_Xactor; let ionum=valueOf(ionum_); rule rl_wr_respond; // Get the wr request diff --git a/src/peripherals/rgbttl/rgbttl_dummy.bsv b/src/peripherals/rgbttl/rgbttl_dummy.bsv index a52d5e7..095a6ec 100644 --- a/src/peripherals/rgbttl/rgbttl_dummy.bsv +++ b/src/peripherals/rgbttl/rgbttl_dummy.bsv @@ -36,8 +36,8 @@ package rgbttl_dummy; import AXI4_Types::*; interface Ifc_rgbttl_dummy; - interface AXI4_Master_IFC#(`ADDR, `DATA, `USERSPACE) master; - interface AXI4_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave; + interface AXI4_Master_IFC#(`PADDR, `DATA, `USERSPACE) master; + interface AXI4_Slave_IFC#(`PADDR, `DATA, `USERSPACE) slave; interface Get#(Bit#(1)) de; interface Get#(Bit#(1)) ck; interface Get#(Bit#(1)) vs; @@ -47,9 +47,9 @@ package rgbttl_dummy; (*synthesize*) module mkrgbttl_dummy(Ifc_rgbttl_dummy); - AXI4_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) + AXI4_Slave_Xactor_IFC#(`PADDR,`DATA, `USERSPACE) s_xactor<-mkAXI4_Slave_Xactor(); - AXI4_Master_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) + AXI4_Master_Xactor_IFC#(`PADDR,`DATA, `USERSPACE) m_xactor<-mkAXI4_Master_Xactor(); Reg#(Bit#(1)) rg_de <- mkReg(0); diff --git a/src/peripherals/sdmmc/sdcard_dummy.bsv b/src/peripherals/sdmmc/sdcard_dummy.bsv index 823394b..9e44c67 100644 --- a/src/peripherals/sdmmc/sdcard_dummy.bsv +++ b/src/peripherals/sdmmc/sdcard_dummy.bsv @@ -45,7 +45,7 @@ package sdcard_dummy; import AXI4_Lite_Types::*; interface Ifc_sdcard_dummy; - interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave; + interface AXI4_Lite_Slave_IFC#(`PADDR, `DATA, `USERSPACE) slave; interface Get#(Bit#(1)) cmd; interface Get#(Bit#(1)) clk; interface Get#(Bit#(`SDBUSWIDTH)) out; @@ -55,7 +55,7 @@ package sdcard_dummy; (*synthesize*) module mksdcard_dummy(Ifc_sdcard_dummy); - AXI4_Lite_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) + AXI4_Lite_Slave_Xactor_IFC#(`PADDR,`DATA, `USERSPACE) s_xactor<-mkAXI4_Lite_Slave_Xactor(); Reg#(Bit#(1)) rg_cmd <- mkReg(0);