From 03c8301443e942c7900411502c32d3c3cb2d01c0 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Tue, 24 Jul 2018 14:27:38 +0100 Subject: [PATCH] need to rename packages to match "master" convention --- src/peripherals/qspi/mqspi.bsv | 4 ++-- src/peripherals/spi/mspi.bsv | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/src/peripherals/qspi/mqspi.bsv b/src/peripherals/qspi/mqspi.bsv index 132edf9..e22bd8c 100644 --- a/src/peripherals/qspi/mqspi.bsv +++ b/src/peripherals/qspi/mqspi.bsv @@ -66,7 +66,7 @@ package mqspi; interface Get#(Bit#(1)) ncs_o; endinterface - interface Ifc_qspi; + interface Ifc_mqspi; interface QSPI_out out; interface AXI4_Lite_Slave_IFC#(`PADDR,`Reg_width,`USERSPACE) slave; method Bit#(6) interrupts; // 0=TOF, 1=SMF, 2=Threshold, 3=TCF, 4=TEF 5 = request_ready @@ -140,7 +140,7 @@ package mqspi; Idle=6} Phase deriving (Bits,Eq,FShow); (*synthesize*) - module mkqspi(Ifc_qspi); + module mkqspi(Ifc_mqspi); AXI4_Lite_Slave_Xactor_IFC #(`PADDR, `Reg_width, `USERSPACE) s_xactor <- mkAXI4_Lite_Slave_Xactor; /*************** List of implementation defined Registers *****************/ diff --git a/src/peripherals/spi/mspi.bsv b/src/peripherals/spi/mspi.bsv index c49f929..40568ab 100644 --- a/src/peripherals/spi/mspi.bsv +++ b/src/peripherals/spi/mspi.bsv @@ -50,7 +50,7 @@ package mspi; interface Get#(Bit#(1)) ncs_o; endinterface - interface Ifc_spi; + interface Ifc_mspi; interface SPI_out out; interface AXI4_Lite_Slave_IFC#(`PADDR,`Reg_width,`USERSPACE) slave; // 0=TOF, 1=SMF, 2=Threshold, 3=TCF, 4=TEF 5 = request_ready @@ -59,7 +59,7 @@ package mspi; (*synthesize*) - module mkspi(Ifc_spi); + module mkspi(Ifc_mspi); Ifc_qspi qspi <- mkqspi(); -- 2.30.2