Allow the formal engine to perform a same-cycle result in the ALU
[soc.git] / mkpinmux.sh
index cb24be7d20a69d0e5bd1b7b1c9663a5def77e527..c98e48044dfcf9019930997720ac5b431be7ac53 100755 (executable)
@@ -1,4 +1,5 @@
 #!/bin/sh
 cd pinmux
 python2 src/pinmux_generator.py -v -s ls180 -o ls180
-python2 src/pinmux_generator.py -v -s ngi_pointer -o ngi_pointer
+# temporary - return to older version of pinmux
+#python2 src/pinmux_generator.py -v -s ngi_router -o ngi_router