verific: Use new value change logic also for $stable of wide signals.
authorJannis Harder <me@jix.one>
Wed, 11 May 2022 10:55:53 +0000 (12:55 +0200)
committerJannis Harder <me@jix.one>
Wed, 11 May 2022 11:05:27 +0000 (13:05 +0200)
commitfada77b8cfcb770a25e1f18543ddc428d9138f74
treed64653e6ddd0de0f734bc0c414107c4d8724afbf
parentc862b1dbfbb3a8e1ec90c483a8364550b3fe840c
verific: Use new value change logic also for $stable of wide signals.

I missed this in the previous PR.
frontends/verific/verific.cc
tests/sva/sva_value_change_changed_wide.sv [new file with mode: 0644]
tests/sva/sva_value_change_sim.sv