Update CHANGELOG and manual
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.15 .. Yosys 0.15-dev
6 --------------------------
7 * Various
8 - Added BTOR2 witness file co-simulation.
9 - Simulation calls external vcd2fst for VCD conversion.
10 - Added fst2tb pass - generates testbench for the circuit using
11 the given top-level module and simulus signal from FST file.
12 - yosys-smtbmc: Option to keep going after failed assertions in BMC mode
13
14 * Verific support
15 - Import modules in alphabetic (reproducable) order.
16
17 Yosys 0.14 .. Yosys 0.15
18 --------------------------
19
20 * Various
21 - clk2fflogic: nice names for autogenerated signals
22 - simulation include support for all flip-flop types.
23 - Added AIGER witness file co-simulation.
24
25 * Verilog
26 - Fixed evaluation of constant functions with variables or arguments with
27 reversed dimensions
28 - Fixed elaboration of dynamic range assignments where the vector is
29 reversed or is not zero-indexed
30 - Added frontend support for time scale delay values (e.g., `#1ns`)
31
32 * SystemVerilog
33 - Added support for accessing whole sub-structures in expressions
34
35 * New commands and options
36 - Added glift command, used to create gate-level information flow tracking
37 (GLIFT) models by the "constructive mapping" approach
38
39 * Verific support
40 - Ability to override default parser mode for verific -f command.
41
42 Yosys 0.13 .. Yosys 0.14
43 --------------------------
44
45 * Various
46 - Added $bmux and $demux cells and related optimization patterns.
47
48 * New commands and options
49 - Added "bmuxmap" and "dmuxmap" passes
50 - Added "-fst" option to "sim" pass for writing FST files
51 - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate",
52 "-sim-gold" options to "sim" pass for co-simulation
53
54 * Anlogic support
55 - Added support for BRAMs
56
57 Yosys 0.12 .. Yosys 0.13
58 --------------------------
59
60 * Various
61 - Use "read" command to parse HDL files from Yosys command-line
62 - Added "yosys -r <topmodule>" command line option
63 - write_verilog: dump zero width sigspecs correctly
64
65 * SystemVerilog
66 - Fixed regression preventing the use array querying functions in case
67 expressions and case item expressions
68 - Fixed static size casts inadvertently limiting the result width of binary
69 operations
70 - Fixed static size casts ignoring expression signedness
71 - Fixed static size casts not extending unbased unsized literals
72 - Added automatic `nosync` inference for local variables in `always_comb`
73 procedures which are always assigned before they are used to avoid errant
74 latch inference
75
76 * New commands and options
77 - Added "clean_zerowidth" pass
78
79 * Verific support
80 - Add YOSYS to the implicitly defined verilog macros in verific
81
82 Yosys 0.11 .. Yosys 0.12
83 --------------------------
84
85 * Various
86 - Added iopadmap native support for negative-polarity output enable
87 - ABC update
88
89 * SystemVerilog
90 - Support parameters using struct as a wiretype
91
92 * New commands and options
93 - Added "-genlib" option to "abc" pass
94 - Added "sta" very crude static timing analysis pass
95
96 * Verific support
97 - Fixed memory block size in import
98
99 * New back-ends
100 - Added support for GateMate FPGA from Cologne Chip AG
101
102 * Intel ALM support
103 - Added preliminary Arria V support
104
105
106 Yosys 0.10 .. Yosys 0.11
107 --------------------------
108
109 * Various
110 - Added $aldff and $aldffe (flip-flops with async load) cells
111
112 * SystemVerilog
113 - Fixed an issue which prevented writing directly to a memory word via a
114 connection to an output port
115 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
116 filling the width of a cell input
117 - Fixed an issue where connecting a slice covering the entirety of a signed
118 signal to a cell input would cause a failed assertion
119
120 * Verific support
121 - Importer support for {PRIM,WIDE_OPER}_DFF
122 - Importer support for PRIM_BUFIF1
123 - Option to use Verific without VHDL support
124 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
125 - Added -cfg option for getting/setting Verific runtime flags
126
127 Yosys 0.9 .. Yosys 0.10
128 --------------------------
129
130 * Various
131 - Added automatic gzip decompression for frontends
132 - Added $_NMUX_ cell type
133 - Added automatic gzip compression (based on filename extension) for backends
134 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
135 bit vectors and strings containing [01xz]*
136 - Improvements in pmgen: subpattern and recursive matches
137 - Support explicit FIRRTL properties
138 - Improvements in pmgen: slices, choices, define, generate
139 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
140 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
141 - Added new frontend: rpc
142 - Added --version and -version as aliases for -V
143 - Improve yosys-smtbmc "solver not found" handling
144 - Improved support of $readmem[hb] Memory Content File inclusion
145 - Added CXXRTL backend
146 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
147 - Added WASI platform support.
148 - Added extmodule support to firrtl backend
149 - Added $divfloor and $modfloor cells
150 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
151 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
152 - Added firrtl backend support for generic parameters in blackbox components
153 - Added $meminit_v2 cells (with support for write mask)
154 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
155 - write priority masks, per write/write port pair
156 - transparency and undefined collision behavior masks, per read/write port pair
157 - read port reset and initialization
158 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
159
160 * New commands and options
161 - Added "write_xaiger" backend
162 - Added "read_xaiger"
163 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
164 - Added "synth -abc9" (experimental)
165 - Added "script -scriptwire"
166 - Added "clkbufmap" pass
167 - Added "extractinv" pass and "invertible_pin" attribute
168 - Added "proc_clean -quiet"
169 - Added "proc_prune" pass
170 - Added "stat -tech cmos"
171 - Added "opt_share" pass, run as part of "opt -full"
172 - Added "-match-init" option to "dff2dffs" pass
173 - Added "equiv_opt -multiclock"
174 - Added "techmap_autopurge" support to techmap
175 - Added "add -mod <modname[s]>"
176 - Added "paramap" pass
177 - Added "portlist" command
178 - Added "check -mapped"
179 - Added "check -allow-tbuf"
180 - Added "autoname" pass
181 - Added "write_verilog -extmem"
182 - Added "opt_mem" pass
183 - Added "scratchpad" pass
184 - Added "fminit" pass
185 - Added "opt_lut_ins" pass
186 - Added "logger" pass
187 - Added "show -nobg"
188 - Added "exec" command
189 - Added "design -delete"
190 - Added "design -push-copy"
191 - Added "qbfsat" command
192 - Added "select -unset"
193 - Added "dfflegalize" pass
194 - Removed "opt_expr -clkinv" option, made it the default
195 - Added "proc -nomux
196 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
197
198 * SystemVerilog
199 - Added checking of always block types (always_comb, always_latch and always_ff)
200 - Added support for wildcard port connections (.*)
201 - Added support for enum typedefs
202 - Added support for structs and packed unions.
203 - Allow constant function calls in for loops and generate if and case
204 - Added support for static cast
205 - Added support for logic typed parameters
206 - Fixed generate scoping issues
207 - Added support for real-valued parameters
208 - Allow localparams in constant functions
209 - Module name scope support
210 - Support recursive functions using ternary expressions
211 - Extended support for integer types
212 - Support for parameters without default values
213 - Allow globals in one file to depend on globals in another
214 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
215 - Added support for parsing the 'bind' construct
216 - support declaration in procedural for initialization
217 - support declaration in generate for initialization
218 - Support wand and wor of data types
219
220 * Verific support
221 - Added "verific -L"
222 - Add Verific SVA support for "always" properties
223 - Add Verific support for SVA nexttime properties
224 - Improve handling of verific primitives in "verific -import -V" mode
225 - Import attributes for wires
226 - Support VHDL enums
227 - Added support for command files
228
229 * New back-ends
230 - Added initial EFINIX support
231 - Added Intel ALM: alternative synthesis for Intel FPGAs
232 - Added initial Nexus support
233 - Added initial MachXO2 support
234 - Added initial QuickLogic PolarPro 3 support
235
236 * ECP5 support
237 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
238 - Added "synth_ecp5 -abc9" (experimental)
239 - Added "synth_ecp5 -nowidelut"
240 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
241
242 * iCE40 support
243 - Added "synth_ice40 -abc9" (experimental)
244 - Added "synth_ice40 -device"
245 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
246 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
247 - Removed "ice40_unlut"
248 - Added "ice40_dsp" for Lattice iCE40 DSP packing
249 - "synth_ice40 -dsp" to infer DSP blocks
250
251 * Xilinx support
252 - Added "synth_xilinx -abc9" (experimental)
253 - Added "synth_xilinx -nocarry"
254 - Added "synth_xilinx -nowidelut"
255 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
256 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
257 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
258 - Added "synth_xilinx -ise" (experimental)
259 - Added "synth_xilinx -iopad"
260 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
261 - Added "xilinx_srl" for Xilinx shift register extraction
262 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
263 - Added "xilinx_dsp" for Xilinx DSP packing
264 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
265 - Added latch support to synth_xilinx
266 - Added support for flip-flops with synchronous reset to synth_xilinx
267 - Added support for flip-flops with reset and enable to synth_xilinx
268 - Added "xilinx_dffopt" pass
269 - Added "synth_xilinx -dff"
270
271 * Intel support
272 - Renamed labels in synth_intel (e.g. bram -> map_bram)
273 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
274 - Added "intel_alm -abc9" (experimental)
275
276 * CoolRunner2 support
277 - Separate and improve buffer cell insertion pass
278 - Use extract_counter to optimize counters
279
280 Yosys 0.8 .. Yosys 0.9
281 ----------------------
282
283 * Various
284 - Many bugfixes and small improvements
285 - Added support for SystemVerilog interfaces and modports
286 - Added "write_edif -attrprop"
287 - Added "opt_lut" pass
288 - Added "gate2lut.v" techmap rule
289 - Added "rename -src"
290 - Added "equiv_opt" pass
291 - Added "flowmap" LUT mapping pass
292 - Added "rename -wire" to rename cells based on the wires they drive
293 - Added "bugpoint" for creating minimised testcases
294 - Added "write_edif -gndvccy"
295 - "write_verilog" to escape Verilog keywords
296 - Fixed sign handling of real constants
297 - "write_verilog" to write initial statement for initial flop state
298 - Added pmgen pattern matcher generator
299 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
300 - Added "setundef -params" to replace undefined cell parameters
301 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
302 - Fixed handling of defparam when default_nettype is none
303 - Fixed "wreduce" flipflop handling
304 - Fixed FIRRTL to Verilog process instance subfield assignment
305 - Added "write_verilog -siminit"
306 - Several fixes and improvements for mem2reg memories
307 - Fixed handling of task output ports in clocked always blocks
308 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
309 - Added "read_aiger" frontend
310 - Added "mutate" pass
311 - Added "hdlname" attribute
312 - Added "rename -output"
313 - Added "read_ilang -lib"
314 - Improved "proc" full_case detection and handling
315 - Added "whitebox" and "lib_whitebox" attributes
316 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
317 - Added Python bindings and support for Python plug-ins
318 - Added "pmux2shiftx"
319 - Added log_debug framework for reduced default verbosity
320 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
321 - Added "peepopt" peephole optimisation pass using pmgen
322 - Added approximate support for SystemVerilog "var" keyword
323 - Added parsing of "specify" blocks into $specrule and $specify[23]
324 - Added support for attributes on parameters and localparams
325 - Added support for parsing attributes on port connections
326 - Added "wreduce -keepdc"
327 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
328 - Added Verilog wand/wor wire type support
329 - Added support for elaboration system tasks
330 - Added "muxcover -mux{4,8,16}=<cost>"
331 - Added "muxcover -dmux=<cost>"
332 - Added "muxcover -nopartial"
333 - Added "muxpack" pass
334 - Added "pmux2shiftx -norange"
335 - Added support for "~" in filename parsing
336 - Added "read_verilog -pwires" feature to turn parameters into wires
337 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
338 - Fixed genvar to be a signed type
339 - Added support for attributes on case rules
340 - Added "upto" and "offset" to JSON frontend and backend
341 - Several liberty file parser improvements
342 - Fixed handling of more complex BRAM patterns
343 - Add "write_aiger -I -O -B"
344
345 * Formal Verification
346 - Added $changed support to read_verilog
347 - Added "read_verilog -noassert -noassume -assert-assumes"
348 - Added btor ops for $mul, $div, $mod and $concat
349 - Added yosys-smtbmc support for btor witnesses
350 - Added "supercover" pass
351 - Fixed $global_clock handling vs autowire
352 - Added $dffsr support to "async2sync"
353 - Added "fmcombine" pass
354 - Added memory init support in "write_btor"
355 - Added "cutpoint" pass
356 - Changed "ne" to "neq" in btor2 output
357 - Added support for SVA "final" keyword
358 - Added "fmcombine -initeq -anyeq"
359 - Added timescale and generated-by header to yosys-smtbmc vcd output
360 - Improved BTOR2 handling of undriven wires
361
362 * Verific support
363 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
364 - Improved support for asymmetric memories
365 - Added "verific -chparam"
366 - Fixed "verific -extnets" for more complex situations
367 - Added "read -verific" and "read -noverific"
368 - Added "hierarchy -chparam"
369
370 * New back-ends
371 - Added initial Anlogic support
372 - Added initial SmartFusion2 and IGLOO2 support
373
374 * ECP5 support
375 - Added "synth_ecp5 -nowidelut"
376 - Added BRAM inference support to "synth_ecp5"
377 - Added support for transforming Diamond IO and flipflop primitives
378
379 * iCE40 support
380 - Added "ice40_unlut" pass
381 - Added "synth_ice40 -relut"
382 - Added "synth_ice40 -noabc"
383 - Added "synth_ice40 -dffe_min_ce_use"
384 - Added DSP inference support using pmgen
385 - Added support for initialising BRAM primitives from a file
386 - Added iCE40 Ultra RGB LED driver cells
387
388 * Xilinx support
389 - Use "write_edif -pvector bra" for Xilinx EDIF files
390 - Fixes for VPR place and route support with "synth_xilinx"
391 - Added more cell simulation models
392 - Added "synth_xilinx -family"
393 - Added "stat -tech xilinx" to estimate logic cell usage
394 - Added "synth_xilinx -nocarry"
395 - Added "synth_xilinx -nowidelut"
396 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
397 - Added support for mapping RAM32X1D
398
399 Yosys 0.7 .. Yosys 0.8
400 ----------------------
401
402 * Various
403 - Many bugfixes and small improvements
404 - Strip debug symbols from installed binary
405 - Replace -ignore_redef with -[no]overwrite in front-ends
406 - Added write_verilog hex dump support, add -nohex option
407 - Added "write_verilog -decimal"
408 - Added "scc -set_attr"
409 - Added "verilog_defines" command
410 - Remember defines from one read_verilog to next
411 - Added support for hierarchical defparam
412 - Added FIRRTL back-end
413 - Improved ABC default scripts
414 - Added "design -reset-vlog"
415 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
416 - Added Verilog $rtoi and $itor support
417 - Added "check -initdrv"
418 - Added "read_blif -wideports"
419 - Added support for SystemVerilog "++" and "--" operators
420 - Added support for SystemVerilog unique, unique0, and priority case
421 - Added "write_edif" options for edif "flavors"
422 - Added support for resetall compiler directive
423 - Added simple C beck-end (bitwise combinatorical only atm)
424 - Added $_ANDNOT_ and $_ORNOT_ cell types
425 - Added cell library aliases to "abc -g"
426 - Added "setundef -anyseq"
427 - Added "chtype" command
428 - Added "design -import"
429 - Added "write_table" command
430 - Added "read_json" command
431 - Added "sim" command
432 - Added "extract_fa" and "extract_reduce" commands
433 - Added "extract_counter" command
434 - Added "opt_demorgan" command
435 - Added support for $size and $bits SystemVerilog functions
436 - Added "blackbox" command
437 - Added "ltp" command
438 - Added support for editline as replacement for readline
439 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
440 - Added "yosys -E" for creating Makefile dependencies files
441 - Added "synth -noshare"
442 - Added "memory_nordff"
443 - Added "setundef -undef -expose -anyconst"
444 - Added "expose -input"
445 - Added specify/specparam parser support (simply ignore them)
446 - Added "write_blif -inames -iattr"
447 - Added "hierarchy -simcheck"
448 - Added an option to statically link abc into yosys
449 - Added protobuf back-end
450 - Added BLIF parsing support for .conn and .cname
451 - Added read_verilog error checking for reg/wire/logic misuse
452 - Added "make coverage" and ENABLE_GCOV build option
453
454 * Changes in Yosys APIs
455 - Added ConstEval defaultval feature
456 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
457 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
458 - Added log_file_warning() and log_file_error() functions
459
460 * Formal Verification
461 - Added "write_aiger"
462 - Added "yosys-smtbmc --aig"
463 - Added "always <positive_int>" to .smtc format
464 - Added $cover cell type and support for cover properties
465 - Added $fair/$live cell type and support for liveness properties
466 - Added smtbmc support for memory vcd dumping
467 - Added "chformal" command
468 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
469 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
470 - Change to Yices2 as default SMT solver (it is GPL now)
471 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
472 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
473 - Added a brand new "write_btor" command for BTOR2
474 - Added clk2fflogic memory support and other improvements
475 - Added "async memory write" support to write_smt2
476 - Simulate clock toggling in yosys-smtbmc VCD output
477 - Added $allseq/$allconst cells for EA-solving
478 - Make -nordff the default in "prep"
479 - Added (* gclk *) attribute
480 - Added "async2sync" pass for single-clock designs with async resets
481
482 * Verific support
483 - Many improvements in Verific front-end
484 - Added proper handling of concurent SVA properties
485 - Map "const" and "rand const" to $anyseq/$anyconst
486 - Added "verific -import -flatten" and "verific -import -extnets"
487 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
488 - Remove PSL support (because PSL has been removed in upstream Verific)
489 - Improve integration with "hierarchy" command design elaboration
490 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
491 - Added simpilied "read" command that automatically uses verific if available
492 - Added "verific -set-<severity> <msg_id>.."
493 - Added "verific -work <libname>"
494
495 * New back-ends
496 - Added initial Coolrunner-II support
497 - Added initial eASIC support
498 - Added initial ECP5 support
499
500 * GreenPAK Support
501 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
502
503 * iCE40 Support
504 - Add "synth_ice40 -vpr"
505 - Add "synth_ice40 -nodffe"
506 - Add "synth_ice40 -json"
507 - Add Support for UltraPlus cells
508
509 * MAX10 and Cyclone IV Support
510 - Added initial version of metacommand "synth_intel".
511 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
512 - Added support for MAX10 FPGA family synthesis.
513 - Added support for Cyclone IV family synthesis.
514 - Added example of implementation for DE2i-150 board.
515 - Added example of implementation for MAX10 development kit.
516 - Added LFSR example from Asic World.
517 - Added "dffinit -highlow" for mapping to Intel primitives
518
519
520 Yosys 0.6 .. Yosys 0.7
521 ----------------------
522
523 * Various
524 - Added "yosys -D" feature
525 - Added support for installed plugins in $(DATDIR)/plugins/
526 - Renamed opt_const to opt_expr
527 - Renamed opt_share to opt_merge
528 - Added "prep -flatten" and "synth -flatten"
529 - Added "prep -auto-top" and "synth -auto-top"
530 - Using "mfs" and "lutpack" in ABC lut mapping
531 - Support for abstract modules in chparam
532 - Cleanup abstract modules at end of "hierarchy -top"
533 - Added tristate buffer support to iopadmap
534 - Added opt_expr support for div/mod by power-of-two
535 - Added "select -assert-min <N> -assert-max <N>"
536 - Added "attrmvcp" pass
537 - Added "attrmap" command
538 - Added "tee +INT -INT"
539 - Added "zinit" pass
540 - Added "setparam -type"
541 - Added "shregmap" pass
542 - Added "setundef -init"
543 - Added "nlutmap -assert"
544 - Added $sop cell type and "abc -sop -I <num> -P <num>"
545 - Added "dc2" to default ABC scripts
546 - Added "deminout"
547 - Added "insbuf" command
548 - Added "prep -nomem"
549 - Added "opt_rmdff -keepdc"
550 - Added "prep -nokeepdc"
551 - Added initial version of "synth_gowin"
552 - Added "fsm_expand -full"
553 - Added support for fsm_encoding="user"
554 - Many improvements in GreenPAK4 support
555 - Added black box modules for all Xilinx 7-series lib cells
556 - Added synth_ice40 support for latches via logic loops
557 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
558
559 * Build System
560 - Added ABCEXTERNAL and ABCURL make variables
561 - Added BINDIR, LIBDIR, and DATDIR make variables
562 - Added PKG_CONFIG make variable
563 - Added SEED make variable (for "make test")
564 - Added YOSYS_VER_STR make variable
565 - Updated min GCC requirement to GCC 4.8
566 - Updated required Bison version to Bison 3.x
567
568 * Internal APIs
569 - Added ast.h to exported headers
570 - Added ScriptPass helper class for script-like passes
571 - Added CellEdgesDatabase API
572
573 * Front-ends and Back-ends
574 - Added filename glob support to all front-ends
575 - Added avail (black-box) module params to ilang format
576 - Added $display %m support
577 - Added support for $stop Verilog system task
578 - Added support for SystemVerilog packages
579 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
580 - Added support for "active high" and "active low" latches in read_blif and write_blif
581 - Use init value "2" for all uninitialized FFs in BLIF back-end
582 - Added "read_blif -sop"
583 - Added "write_blif -noalias"
584 - Added various write_blif options for VTR support
585 - write_json: also write module attributes.
586 - Added "write_verilog -nodec -nostr -defparam"
587 - Added "read_verilog -norestrict -assume-asserts"
588 - Added support for bus interfaces to "read_liberty -lib"
589 - Added liberty parser support for types within cell decls
590 - Added "write_verilog -renameprefix -v"
591 - Added "write_edif -nogndvcc"
592
593 * Formal Verification
594 - Support for hierarchical designs in smt2 back-end
595 - Yosys-smtbmc: Support for hierarchical VCD dumping
596 - Added $initstate cell type and vlog function
597 - Added $anyconst and $anyseq cell types and vlog functions
598 - Added printing of code loc of failed asserts to yosys-smtbmc
599 - Added memory_memx pass, "memory -memx", and "prep -memx"
600 - Added "proc_mux -ifx"
601 - Added "yosys-smtbmc -g"
602 - Deprecated "write_smt2 -regs" (by default on now)
603 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
604 - Added support for memories to smtio.py
605 - Added "yosys-smtbmc --dump-vlogtb"
606 - Added "yosys-smtbmc --smtc --dump-smtc"
607 - Added "yosys-smtbmc --dump-all"
608 - Added assertpmux command
609 - Added "yosys-smtbmc --unroll"
610 - Added $past, $stable, $rose, $fell SVA functions
611 - Added "yosys-smtbmc --noinfo and --dummy"
612 - Added "yosys-smtbmc --noincr"
613 - Added "yosys-smtbmc --cex <filename>"
614 - Added $ff and $_FF_ cell types
615 - Added $global_clock verilog syntax support for creating $ff cells
616 - Added clk2fflogic
617
618
619 Yosys 0.5 .. Yosys 0.6
620 ----------------------
621
622 * Various
623 - Added Contributor Covenant Code of Conduct
624 - Various improvements in dict<> and pool<>
625 - Added hashlib::mfp and refactored SigMap
626 - Improved support for reals as module parameters
627 - Various improvements in SMT2 back-end
628 - Added "keep_hierarchy" attribute
629 - Verilog front-end: define `BLACKBOX in -lib mode
630 - Added API for converting internal cells to AIGs
631 - Added ENABLE_LIBYOSYS Makefile option
632 - Removed "techmap -share_map" (use "-map +/filename" instead)
633 - Switched all Python scripts to Python 3
634 - Added support for $display()/$write() and $finish() to Verilog front-end
635 - Added "yosys-smtbmc" formal verification flow
636 - Added options for clang sanitizers to Makefile
637
638 * New commands and options
639 - Added "scc -expect <N> -nofeedback"
640 - Added "proc_dlatch"
641 - Added "check"
642 - Added "select %xe %cie %coe %M %C %R"
643 - Added "sat -dump_json" (WaveJSON format)
644 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
645 - Added "sat -stepsize" and "sat -tempinduct-step"
646 - Added "sat -show-regs -show-public -show-all"
647 - Added "write_json" (Native Yosys JSON format)
648 - Added "write_blif -attr"
649 - Added "dffinit"
650 - Added "chparam"
651 - Added "muxcover"
652 - Added "pmuxtree"
653 - Added memory_bram "make_outreg" feature
654 - Added "splice -wires"
655 - Added "dff2dffe -direct-match"
656 - Added simplemap $lut support
657 - Added "read_blif"
658 - Added "opt_share -share_all"
659 - Added "aigmap"
660 - Added "write_smt2 -mem -regs -wires"
661 - Added "memory -nordff"
662 - Added "write_smv"
663 - Added "synth -nordff -noalumacc"
664 - Added "rename -top new_name"
665 - Added "opt_const -clkinv"
666 - Added "synth -nofsm"
667 - Added "miter -assert"
668 - Added "read_verilog -noautowire"
669 - Added "read_verilog -nodpi"
670 - Added "tribuf"
671 - Added "lut2mux"
672 - Added "nlutmap"
673 - Added "qwp"
674 - Added "test_cell -noeval"
675 - Added "edgetypes"
676 - Added "equiv_struct"
677 - Added "equiv_purge"
678 - Added "equiv_mark"
679 - Added "equiv_add -try -cell"
680 - Added "singleton"
681 - Added "abc -g -luts"
682 - Added "torder"
683 - Added "write_blif -cname"
684 - Added "submod -copy"
685 - Added "dffsr2dff"
686 - Added "stat -liberty"
687
688 * Synthesis metacommands
689 - Various improvements in synth_xilinx
690 - Added synth_ice40 and synth_greenpak4
691 - Added "prep" metacommand for "synthesis lite"
692
693 * Cell library changes
694 - Added cell types to "help" system
695 - Added $meminit cell type
696 - Added $assume cell type
697 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
698 - Added $tribuf and $_TBUF_ cell types
699 - Added read-enable to memory model
700
701 * YosysJS
702 - Various improvements in emscripten build
703 - Added alternative webworker-based JS API
704 - Added a few example applications
705
706
707 Yosys 0.4 .. Yosys 0.5
708 ----------------------
709
710 * API changes
711 - Added log_warning()
712 - Added eval_select_args() and eval_select_op()
713 - Added cell->known(), cell->input(portname), cell->output(portname)
714 - Skip blackbox modules in design->selected_modules()
715 - Replaced std::map<> and std::set<> with dict<> and pool<>
716 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
717 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
718
719 * Cell library changes
720 - Added flip-flops with enable ($dffe etc.)
721 - Added $equiv cells for equivalence checking framework
722
723 * Various
724 - Updated ABC to hg rev 61ad5f908c03
725 - Added clock domain partitioning to ABC pass
726 - Improved plugin building (see "yosys-config --build")
727 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
728 - Added "yosys -d", "yosys -L" and other driver improvements
729 - Added support for multi-bit (array) cell ports to "write_edif"
730 - Now printing most output to stdout, not stderr
731 - Added "onehot" attribute (set by "fsm_map")
732 - Various performance improvements
733 - Vastly improved Xilinx flow
734 - Added "make unsintall"
735
736 * Equivalence checking
737 - Added equivalence checking commands:
738 equiv_make equiv_simple equiv_status
739 equiv_induct equiv_miter
740 equiv_add equiv_remove
741
742 * Block RAM support:
743 - Added "memory_bram" command
744 - Added BRAM support to Xilinx flow
745
746 * Other New Commands and Options
747 - Added "dff2dffe"
748 - Added "fsm -encfile"
749 - Added "dfflibmap -prepare"
750 - Added "write_blid -unbuf -undef -blackbox"
751 - Added "write_smt2" for writing SMT-LIBv2 files
752 - Added "test_cell -w -muxdiv"
753 - Added "select -read"
754
755
756 Yosys 0.3.0 .. Yosys 0.4
757 ------------------------
758
759 * Platform Support
760 - Added support for mxe-based cross-builds for win32
761 - Added sourcecode-export as VisualStudio project
762 - Added experimental EMCC (JavaScript) support
763
764 * Verilog Frontend
765 - Added -sv option for SystemVerilog (and automatic *.sv file support)
766 - Added support for real-valued constants and constant expressions
767 - Added support for non-standard "via_celltype" attribute on task/func
768 - Added support for non-standard "module mod_name(...);" syntax
769 - Added support for non-standard """ macro bodies
770 - Added support for array with more than one dimension
771 - Added support for $readmemh and $readmemb
772 - Added support for DPI functions
773
774 * Changes in internal cell library
775 - Added $shift and $shiftx cell types
776 - Added $alu, $lcu, $fa and $macc cell types
777 - Removed $bu0 and $safe_pmux cell types
778 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
779 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
780 - Renamed ports of $lut cells (from I->O to A->Y)
781 - Renamed $_INV_ to $_NOT_
782
783 * Changes for simple synthesis flows
784 - There is now a "synth" command with a recommended default script
785 - Many improvements in synthesis of arithmetic functions to gates
786 - Multipliers and adders with many operands are using carry-save adder trees
787 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
788 - Various new high-level optimizations on RTL netlist
789 - Various improvements in FSM optimization
790 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
791
792 * Changes in internal APIs and RTLIL
793 - Added log_id() and log_cell() helper functions
794 - Added function-like cell creation helpers
795 - Added GetSize() function (like .size() but with int)
796 - Major refactoring of RTLIL::Module and related classes
797 - Major refactoring of RTLIL::SigSpec and related classes
798 - Now RTLIL::IdString is essentially an int
799 - Added macros for code coverage counters
800 - Added some Makefile magic for pretty make logs
801 - Added "kernel/yosys.h" with all the core definitions
802 - Changed a lot of code from FILE* to c++ streams
803 - Added RTLIL::Monitor API and "trace" command
804 - Added "Yosys" C++ namespace
805
806 * Changes relevant to SAT solving
807 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
808 - Added native ezSAT support for vector shift ops
809 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
810
811 * New commands (or large improvements to commands)
812 - Added "synth" command with default script
813 - Added "share" (finally some real resource sharing)
814 - Added "memory_share" (reduce number of ports on memories)
815 - Added "wreduce" and "alumacc" commands
816 - Added "opt -keepdc -fine -full -fast"
817 - Added some "test_*" commands
818
819 * Various other changes
820 - Added %D and %c select operators
821 - Added support for labels in yosys scripts
822 - Added support for here-documents in yosys scripts
823 - Support "+/" prefix for files from proc_share_dir
824 - Added "autoidx" statement to ilang language
825 - Switched from "yosys-svgviewer" to "xdot"
826 - Renamed "stdcells.v" to "techmap.v"
827 - Various bug fixes and small improvements
828 - Improved welcome and bye messages
829
830
831 Yosys 0.2.0 .. Yosys 0.3.0
832 --------------------------
833
834 * Driver program and overall behavior:
835 - Added "design -push" and "design -pop"
836 - Added "tee" command for redirecting log output
837
838 * Changes in the internal cell library:
839 - Added $dlatchsr and $_DLATCHSR_???_ cell types
840
841 * Improvements in Verilog frontend:
842 - Improved support for const functions (case, always, repeat)
843 - The generate..endgenerate keywords are now optional
844 - Added support for arrays of module instances
845 - Added support for "`default_nettype" directive
846 - Added support for "`line" directive
847
848 * Other front- and back-ends:
849 - Various changes to "write_blif" options
850 - Various improvements in EDIF backend
851 - Added "vhdl2verilog" pseudo-front-end
852 - Added "verific" pseudo-front-end
853
854 * Improvements in technology mapping:
855 - Added support for recursive techmap
856 - Added CONSTMSK and CONSTVAL features to techmap
857 - Added _TECHMAP_CONNMAP_*_ feature to techmap
858 - Added _TECHMAP_REPLACE_ feature to techmap
859 - Added "connwrappers" command for wrap-extract-unwrap method
860 - Added "extract -map %<design_name>" feature
861 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
862 - Added "techmap -max_iter" option
863
864 * Improvements to "eval" and "sat" framework:
865 - Now include a copy of Minisat (with build fixes applied)
866 - Switched to Minisat::SimpSolver as SAT back-end
867 - Added "sat -dump_vcd" feature
868 - Added "sat -dump_cnf" feature
869 - Added "sat -initsteps <N>" feature
870 - Added "freduce -stop <N>" feature
871 - Added "freduce -dump <prefix>" feature
872
873 * Integration with ABC:
874 - Updated ABC rev to 7600ffb9340c
875
876 * Improvements in the internal APIs:
877 - Added RTLIL::Module::add... helper methods
878 - Various build fixes for OSX (Darwin) and OpenBSD
879
880
881 Yosys 0.1.0 .. Yosys 0.2.0
882 --------------------------
883
884 * Changes to the driver program:
885 - Added "yosys -h" and "yosys -H"
886 - Added support for backslash line continuation in scripts
887 - Added support for #-comments in same line as command
888 - Added "echo" and "log" commands
889
890 * Improvements in Verilog frontend:
891 - Added support for local registers in named blocks
892 - Added support for "case" in "generate" blocks
893 - Added support for $clog2 system function
894 - Added support for basic SystemVerilog assert statements
895 - Added preprocessor support for macro arguments
896 - Added preprocessor support for `elsif statement
897 - Added "verilog_defaults" command
898 - Added read_verilog -icells option
899 - Added support for constant sizes from parameters
900 - Added "read_verilog -setattr"
901 - Added support for function returning 'integer'
902 - Added limited support for function calls in parameter values
903 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
904
905 * Other front- and back-ends:
906 - Added BTOR backend
907 - Added Liberty frontend
908
909 * Improvements in technology mapping:
910 - The "dfflibmap" command now strongly prefers solutions with
911 no inverters in clock paths
912 - The "dfflibmap" command now prefers cells with smaller area
913 - Added support for multiple -map options to techmap
914 - Added "dfflibmap" support for //-comments in liberty files
915 - Added "memory_unpack" command to revert "memory_collect"
916 - Added standard techmap rule "techmap -share_map pmux2mux.v"
917 - Added "iopadmap -bits"
918 - Added "setundef" command
919 - Added "hilomap" command
920
921 * Changes in the internal cell library:
922 - Major rewrite of simlib.v for better compatibility with other tools
923 - Added PRIORITY parameter to $memwr cells
924 - Added TRANSPARENT parameter to $memrd cells
925 - Added RD_TRANSPARENT parameter to $mem cells
926 - Added $bu0 cell (always 0-extend, even undef MSB)
927 - Added $assert cell type
928 - Added $slice and $concat cell types
929
930 * Integration with ABC:
931 - Updated ABC to hg rev 2058c8ccea68
932 - Tighter integration of ABC build with Yosys build. The make
933 targets 'make abc' and 'make install-abc' are now obsolete.
934 - Added support for passing FFs from one clock domain through ABC
935 - Now always use BLIF as exchange format with ABC
936 - Added support for "abc -script +<command_sequence>"
937 - Improved standard ABC recipe
938 - Added support for "keep" attribute to abc command
939 - Added "abc -dff / -clk / -keepff" options
940
941 * Improvements to "eval" and "sat" framework:
942 - Added support for "0" and "~0" in right-hand side -set expressions
943 - Added "eval -set-undef" and "eval -table"
944 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
945 - Added undef support to SAT solver, incl. various new "sat" options
946 - Added correct support for === and !== for "eval" and "sat"
947 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
948 - Added "sat -prove-asserts"
949 - Complete rewrite of the 'freduce' command
950 - Added "miter" command
951 - Added "sat -show-inputs" and "sat -show-outputs"
952 - Added "sat -ignore_unknown_cells" (now produce an error by default)
953 - Added "sat -falsify"
954 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
955 - Added "expose" command
956 - Added support for @<sel_name> to sat and eval signal expressions
957
958 * Changes in the 'make test' framework and auxiliary test tools:
959 - Added autotest.sh -p and -f options
960 - Replaced autotest.sh ISIM support with XSIM support
961 - Added test cases for SAT framework
962
963 * Added "abbreviated IDs":
964 - Now $<something>$foo can be abbreviated as $foo.
965 - Usually this last part is a unique id (from RTLIL::autoidx)
966 - This abbreviated IDs are now also used in "show" output
967
968 * Other changes to selection framework:
969 - Now */ is optional in */<mode>:<arg> expressions
970 - Added "select -assert-none" and "select -assert-any"
971 - Added support for matching modules by attribute (A:<expr>)
972 - Added "select -none"
973 - Added support for r:<expr> pattern for matching cell parameters
974 - Added support for !=, <, <=, >=, > for attribute and parameter matching
975 - Added support for %s for selecting sub-modules
976 - Added support for %m for expanding selections to whole modules
977 - Added support for i:*, o:* and x:* pattern for selecting module ports
978 - Added support for s:<expr> pattern for matching wire width
979 - Added support for %a operation to select wire aliases
980
981 * Various other changes to commands and options:
982 - The "ls" command now supports wildcards
983 - Added "show -pause" and "show -format dot"
984 - Added "show -color" support for cells
985 - Added "show -label" and "show -notitle"
986 - Added "dump -m" and "dump -n"
987 - Added "history" command
988 - Added "rename -hide"
989 - Added "connect" command
990 - Added "splitnets -driver"
991 - Added "opt_const -mux_undef"
992 - Added "opt_const -mux_bool"
993 - Added "opt_const -undriven"
994 - Added "opt -mux_undef -mux_bool -undriven -purge"
995 - Added "hierarchy -libdir"
996 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
997 - Added "delete" command
998 - Added "dump -append"
999 - Added "setattr" and "setparam" commands
1000 - Added "design -stash/-copy-from/-copy-to"
1001 - Added "copy" command
1002 - Added "splice" command
1003