Merge pull request #3120 from Icenowy/anlogic-bram
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.13 .. Yosys 0.13-dev
6 --------------------------
7
8 Yosys 0.12 .. Yosys 0.13
9 --------------------------
10
11 * Various
12 - Use "read" command to parse HDL files from Yosys command-line
13 - Added "yosys -r <topmodule>" command line option
14 - write_verilog: dump zero width sigspecs correctly
15
16 * SystemVerilog
17 - Fixed regression preventing the use array querying functions in case
18 expressions and case item expressions
19 - Fixed static size casts inadvertently limiting the result width of binary
20 operations
21 - Fixed static size casts ignoring expression signedness
22 - Fixed static size casts not extending unbased unsized literals
23 - Added automatic `nosync` inference for local variables in `always_comb`
24 procedures which are always assigned before they are used to avoid errant
25 latch inference
26
27 * New commands and options
28 - Added "clean_zerowidth" pass
29
30 * Verific support
31 - Add YOSYS to the implicitly defined verilog macros in verific
32
33 Yosys 0.11 .. Yosys 0.12
34 --------------------------
35
36 * Various
37 - Added iopadmap native support for negative-polarity output enable
38 - ABC update
39
40 * SystemVerilog
41 - Support parameters using struct as a wiretype
42
43 * New commands and options
44 - Added "-genlib" option to "abc" pass
45 - Added "sta" very crude static timing analysis pass
46
47 * Verific support
48 - Fixed memory block size in import
49
50 * New back-ends
51 - Added support for GateMate FPGA from Cologne Chip AG
52
53 * Intel ALM support
54 - Added preliminary Arria V support
55
56
57 Yosys 0.10 .. Yosys 0.11
58 --------------------------
59
60 * Various
61 - Added $aldff and $aldffe (flip-flops with async load) cells
62
63 * SystemVerilog
64 - Fixed an issue which prevented writing directly to a memory word via a
65 connection to an output port
66 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
67 filling the width of a cell input
68 - Fixed an issue where connecting a slice covering the entirety of a signed
69 signal to a cell input would cause a failed assertion
70
71 * Verific support
72 - Importer support for {PRIM,WIDE_OPER}_DFF
73 - Importer support for PRIM_BUFIF1
74 - Option to use Verific without VHDL support
75 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
76 - Added -cfg option for getting/setting Verific runtime flags
77
78 Yosys 0.9 .. Yosys 0.10
79 --------------------------
80
81 * Various
82 - Added automatic gzip decompression for frontends
83 - Added $_NMUX_ cell type
84 - Added automatic gzip compression (based on filename extension) for backends
85 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
86 bit vectors and strings containing [01xz]*
87 - Improvements in pmgen: subpattern and recursive matches
88 - Support explicit FIRRTL properties
89 - Improvements in pmgen: slices, choices, define, generate
90 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
91 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
92 - Added new frontend: rpc
93 - Added --version and -version as aliases for -V
94 - Improve yosys-smtbmc "solver not found" handling
95 - Improved support of $readmem[hb] Memory Content File inclusion
96 - Added CXXRTL backend
97 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
98 - Added WASI platform support.
99 - Added extmodule support to firrtl backend
100 - Added $divfloor and $modfloor cells
101 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
102 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
103 - Added firrtl backend support for generic parameters in blackbox components
104 - Added $meminit_v2 cells (with support for write mask)
105 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
106 - write priority masks, per write/write port pair
107 - transparency and undefined collision behavior masks, per read/write port pair
108 - read port reset and initialization
109 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
110
111 * New commands and options
112 - Added "write_xaiger" backend
113 - Added "read_xaiger"
114 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
115 - Added "synth -abc9" (experimental)
116 - Added "script -scriptwire"
117 - Added "clkbufmap" pass
118 - Added "extractinv" pass and "invertible_pin" attribute
119 - Added "proc_clean -quiet"
120 - Added "proc_prune" pass
121 - Added "stat -tech cmos"
122 - Added "opt_share" pass, run as part of "opt -full"
123 - Added "-match-init" option to "dff2dffs" pass
124 - Added "equiv_opt -multiclock"
125 - Added "techmap_autopurge" support to techmap
126 - Added "add -mod <modname[s]>"
127 - Added "paramap" pass
128 - Added "portlist" command
129 - Added "check -mapped"
130 - Added "check -allow-tbuf"
131 - Added "autoname" pass
132 - Added "write_verilog -extmem"
133 - Added "opt_mem" pass
134 - Added "scratchpad" pass
135 - Added "fminit" pass
136 - Added "opt_lut_ins" pass
137 - Added "logger" pass
138 - Added "show -nobg"
139 - Added "exec" command
140 - Added "design -delete"
141 - Added "design -push-copy"
142 - Added "qbfsat" command
143 - Added "select -unset"
144 - Added "dfflegalize" pass
145 - Removed "opt_expr -clkinv" option, made it the default
146 - Added "proc -nomux
147 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
148
149 * SystemVerilog
150 - Added checking of always block types (always_comb, always_latch and always_ff)
151 - Added support for wildcard port connections (.*)
152 - Added support for enum typedefs
153 - Added support for structs and packed unions.
154 - Allow constant function calls in for loops and generate if and case
155 - Added support for static cast
156 - Added support for logic typed parameters
157 - Fixed generate scoping issues
158 - Added support for real-valued parameters
159 - Allow localparams in constant functions
160 - Module name scope support
161 - Support recursive functions using ternary expressions
162 - Extended support for integer types
163 - Support for parameters without default values
164 - Allow globals in one file to depend on globals in another
165 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
166 - Added support for parsing the 'bind' construct
167 - support declaration in procedural for initialization
168 - support declaration in generate for initialization
169 - Support wand and wor of data types
170
171 * Verific support
172 - Added "verific -L"
173 - Add Verific SVA support for "always" properties
174 - Add Verific support for SVA nexttime properties
175 - Improve handling of verific primitives in "verific -import -V" mode
176 - Import attributes for wires
177 - Support VHDL enums
178 - Added support for command files
179
180 * New back-ends
181 - Added initial EFINIX support
182 - Added Intel ALM: alternative synthesis for Intel FPGAs
183 - Added initial Nexus support
184 - Added initial MachXO2 support
185 - Added initial QuickLogic PolarPro 3 support
186
187 * ECP5 support
188 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
189 - Added "synth_ecp5 -abc9" (experimental)
190 - Added "synth_ecp5 -nowidelut"
191 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
192
193 * iCE40 support
194 - Added "synth_ice40 -abc9" (experimental)
195 - Added "synth_ice40 -device"
196 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
197 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
198 - Removed "ice40_unlut"
199 - Added "ice40_dsp" for Lattice iCE40 DSP packing
200 - "synth_ice40 -dsp" to infer DSP blocks
201
202 * Xilinx support
203 - Added "synth_xilinx -abc9" (experimental)
204 - Added "synth_xilinx -nocarry"
205 - Added "synth_xilinx -nowidelut"
206 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
207 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
208 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
209 - Added "synth_xilinx -ise" (experimental)
210 - Added "synth_xilinx -iopad"
211 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
212 - Added "xilinx_srl" for Xilinx shift register extraction
213 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
214 - Added "xilinx_dsp" for Xilinx DSP packing
215 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
216 - Added latch support to synth_xilinx
217 - Added support for flip-flops with synchronous reset to synth_xilinx
218 - Added support for flip-flops with reset and enable to synth_xilinx
219 - Added "xilinx_dffopt" pass
220 - Added "synth_xilinx -dff"
221
222 * Intel support
223 - Renamed labels in synth_intel (e.g. bram -> map_bram)
224 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
225 - Added "intel_alm -abc9" (experimental)
226
227 * CoolRunner2 support
228 - Separate and improve buffer cell insertion pass
229 - Use extract_counter to optimize counters
230
231 Yosys 0.8 .. Yosys 0.9
232 ----------------------
233
234 * Various
235 - Many bugfixes and small improvements
236 - Added support for SystemVerilog interfaces and modports
237 - Added "write_edif -attrprop"
238 - Added "opt_lut" pass
239 - Added "gate2lut.v" techmap rule
240 - Added "rename -src"
241 - Added "equiv_opt" pass
242 - Added "flowmap" LUT mapping pass
243 - Added "rename -wire" to rename cells based on the wires they drive
244 - Added "bugpoint" for creating minimised testcases
245 - Added "write_edif -gndvccy"
246 - "write_verilog" to escape Verilog keywords
247 - Fixed sign handling of real constants
248 - "write_verilog" to write initial statement for initial flop state
249 - Added pmgen pattern matcher generator
250 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
251 - Added "setundef -params" to replace undefined cell parameters
252 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
253 - Fixed handling of defparam when default_nettype is none
254 - Fixed "wreduce" flipflop handling
255 - Fixed FIRRTL to Verilog process instance subfield assignment
256 - Added "write_verilog -siminit"
257 - Several fixes and improvements for mem2reg memories
258 - Fixed handling of task output ports in clocked always blocks
259 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
260 - Added "read_aiger" frontend
261 - Added "mutate" pass
262 - Added "hdlname" attribute
263 - Added "rename -output"
264 - Added "read_ilang -lib"
265 - Improved "proc" full_case detection and handling
266 - Added "whitebox" and "lib_whitebox" attributes
267 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
268 - Added Python bindings and support for Python plug-ins
269 - Added "pmux2shiftx"
270 - Added log_debug framework for reduced default verbosity
271 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
272 - Added "peepopt" peephole optimisation pass using pmgen
273 - Added approximate support for SystemVerilog "var" keyword
274 - Added parsing of "specify" blocks into $specrule and $specify[23]
275 - Added support for attributes on parameters and localparams
276 - Added support for parsing attributes on port connections
277 - Added "wreduce -keepdc"
278 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
279 - Added Verilog wand/wor wire type support
280 - Added support for elaboration system tasks
281 - Added "muxcover -mux{4,8,16}=<cost>"
282 - Added "muxcover -dmux=<cost>"
283 - Added "muxcover -nopartial"
284 - Added "muxpack" pass
285 - Added "pmux2shiftx -norange"
286 - Added support for "~" in filename parsing
287 - Added "read_verilog -pwires" feature to turn parameters into wires
288 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
289 - Fixed genvar to be a signed type
290 - Added support for attributes on case rules
291 - Added "upto" and "offset" to JSON frontend and backend
292 - Several liberty file parser improvements
293 - Fixed handling of more complex BRAM patterns
294 - Add "write_aiger -I -O -B"
295
296 * Formal Verification
297 - Added $changed support to read_verilog
298 - Added "read_verilog -noassert -noassume -assert-assumes"
299 - Added btor ops for $mul, $div, $mod and $concat
300 - Added yosys-smtbmc support for btor witnesses
301 - Added "supercover" pass
302 - Fixed $global_clock handling vs autowire
303 - Added $dffsr support to "async2sync"
304 - Added "fmcombine" pass
305 - Added memory init support in "write_btor"
306 - Added "cutpoint" pass
307 - Changed "ne" to "neq" in btor2 output
308 - Added support for SVA "final" keyword
309 - Added "fmcombine -initeq -anyeq"
310 - Added timescale and generated-by header to yosys-smtbmc vcd output
311 - Improved BTOR2 handling of undriven wires
312
313 * Verific support
314 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
315 - Improved support for asymmetric memories
316 - Added "verific -chparam"
317 - Fixed "verific -extnets" for more complex situations
318 - Added "read -verific" and "read -noverific"
319 - Added "hierarchy -chparam"
320
321 * New back-ends
322 - Added initial Anlogic support
323 - Added initial SmartFusion2 and IGLOO2 support
324
325 * ECP5 support
326 - Added "synth_ecp5 -nowidelut"
327 - Added BRAM inference support to "synth_ecp5"
328 - Added support for transforming Diamond IO and flipflop primitives
329
330 * iCE40 support
331 - Added "ice40_unlut" pass
332 - Added "synth_ice40 -relut"
333 - Added "synth_ice40 -noabc"
334 - Added "synth_ice40 -dffe_min_ce_use"
335 - Added DSP inference support using pmgen
336 - Added support for initialising BRAM primitives from a file
337 - Added iCE40 Ultra RGB LED driver cells
338
339 * Xilinx support
340 - Use "write_edif -pvector bra" for Xilinx EDIF files
341 - Fixes for VPR place and route support with "synth_xilinx"
342 - Added more cell simulation models
343 - Added "synth_xilinx -family"
344 - Added "stat -tech xilinx" to estimate logic cell usage
345 - Added "synth_xilinx -nocarry"
346 - Added "synth_xilinx -nowidelut"
347 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
348 - Added support for mapping RAM32X1D
349
350 Yosys 0.7 .. Yosys 0.8
351 ----------------------
352
353 * Various
354 - Many bugfixes and small improvements
355 - Strip debug symbols from installed binary
356 - Replace -ignore_redef with -[no]overwrite in front-ends
357 - Added write_verilog hex dump support, add -nohex option
358 - Added "write_verilog -decimal"
359 - Added "scc -set_attr"
360 - Added "verilog_defines" command
361 - Remember defines from one read_verilog to next
362 - Added support for hierarchical defparam
363 - Added FIRRTL back-end
364 - Improved ABC default scripts
365 - Added "design -reset-vlog"
366 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
367 - Added Verilog $rtoi and $itor support
368 - Added "check -initdrv"
369 - Added "read_blif -wideports"
370 - Added support for SystemVerilog "++" and "--" operators
371 - Added support for SystemVerilog unique, unique0, and priority case
372 - Added "write_edif" options for edif "flavors"
373 - Added support for resetall compiler directive
374 - Added simple C beck-end (bitwise combinatorical only atm)
375 - Added $_ANDNOT_ and $_ORNOT_ cell types
376 - Added cell library aliases to "abc -g"
377 - Added "setundef -anyseq"
378 - Added "chtype" command
379 - Added "design -import"
380 - Added "write_table" command
381 - Added "read_json" command
382 - Added "sim" command
383 - Added "extract_fa" and "extract_reduce" commands
384 - Added "extract_counter" command
385 - Added "opt_demorgan" command
386 - Added support for $size and $bits SystemVerilog functions
387 - Added "blackbox" command
388 - Added "ltp" command
389 - Added support for editline as replacement for readline
390 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
391 - Added "yosys -E" for creating Makefile dependencies files
392 - Added "synth -noshare"
393 - Added "memory_nordff"
394 - Added "setundef -undef -expose -anyconst"
395 - Added "expose -input"
396 - Added specify/specparam parser support (simply ignore them)
397 - Added "write_blif -inames -iattr"
398 - Added "hierarchy -simcheck"
399 - Added an option to statically link abc into yosys
400 - Added protobuf back-end
401 - Added BLIF parsing support for .conn and .cname
402 - Added read_verilog error checking for reg/wire/logic misuse
403 - Added "make coverage" and ENABLE_GCOV build option
404
405 * Changes in Yosys APIs
406 - Added ConstEval defaultval feature
407 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
408 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
409 - Added log_file_warning() and log_file_error() functions
410
411 * Formal Verification
412 - Added "write_aiger"
413 - Added "yosys-smtbmc --aig"
414 - Added "always <positive_int>" to .smtc format
415 - Added $cover cell type and support for cover properties
416 - Added $fair/$live cell type and support for liveness properties
417 - Added smtbmc support for memory vcd dumping
418 - Added "chformal" command
419 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
420 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
421 - Change to Yices2 as default SMT solver (it is GPL now)
422 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
423 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
424 - Added a brand new "write_btor" command for BTOR2
425 - Added clk2fflogic memory support and other improvements
426 - Added "async memory write" support to write_smt2
427 - Simulate clock toggling in yosys-smtbmc VCD output
428 - Added $allseq/$allconst cells for EA-solving
429 - Make -nordff the default in "prep"
430 - Added (* gclk *) attribute
431 - Added "async2sync" pass for single-clock designs with async resets
432
433 * Verific support
434 - Many improvements in Verific front-end
435 - Added proper handling of concurent SVA properties
436 - Map "const" and "rand const" to $anyseq/$anyconst
437 - Added "verific -import -flatten" and "verific -import -extnets"
438 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
439 - Remove PSL support (because PSL has been removed in upstream Verific)
440 - Improve integration with "hierarchy" command design elaboration
441 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
442 - Added simpilied "read" command that automatically uses verific if available
443 - Added "verific -set-<severity> <msg_id>.."
444 - Added "verific -work <libname>"
445
446 * New back-ends
447 - Added initial Coolrunner-II support
448 - Added initial eASIC support
449 - Added initial ECP5 support
450
451 * GreenPAK Support
452 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
453
454 * iCE40 Support
455 - Add "synth_ice40 -vpr"
456 - Add "synth_ice40 -nodffe"
457 - Add "synth_ice40 -json"
458 - Add Support for UltraPlus cells
459
460 * MAX10 and Cyclone IV Support
461 - Added initial version of metacommand "synth_intel".
462 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
463 - Added support for MAX10 FPGA family synthesis.
464 - Added support for Cyclone IV family synthesis.
465 - Added example of implementation for DE2i-150 board.
466 - Added example of implementation for MAX10 development kit.
467 - Added LFSR example from Asic World.
468 - Added "dffinit -highlow" for mapping to Intel primitives
469
470
471 Yosys 0.6 .. Yosys 0.7
472 ----------------------
473
474 * Various
475 - Added "yosys -D" feature
476 - Added support for installed plugins in $(DATDIR)/plugins/
477 - Renamed opt_const to opt_expr
478 - Renamed opt_share to opt_merge
479 - Added "prep -flatten" and "synth -flatten"
480 - Added "prep -auto-top" and "synth -auto-top"
481 - Using "mfs" and "lutpack" in ABC lut mapping
482 - Support for abstract modules in chparam
483 - Cleanup abstract modules at end of "hierarchy -top"
484 - Added tristate buffer support to iopadmap
485 - Added opt_expr support for div/mod by power-of-two
486 - Added "select -assert-min <N> -assert-max <N>"
487 - Added "attrmvcp" pass
488 - Added "attrmap" command
489 - Added "tee +INT -INT"
490 - Added "zinit" pass
491 - Added "setparam -type"
492 - Added "shregmap" pass
493 - Added "setundef -init"
494 - Added "nlutmap -assert"
495 - Added $sop cell type and "abc -sop -I <num> -P <num>"
496 - Added "dc2" to default ABC scripts
497 - Added "deminout"
498 - Added "insbuf" command
499 - Added "prep -nomem"
500 - Added "opt_rmdff -keepdc"
501 - Added "prep -nokeepdc"
502 - Added initial version of "synth_gowin"
503 - Added "fsm_expand -full"
504 - Added support for fsm_encoding="user"
505 - Many improvements in GreenPAK4 support
506 - Added black box modules for all Xilinx 7-series lib cells
507 - Added synth_ice40 support for latches via logic loops
508 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
509
510 * Build System
511 - Added ABCEXTERNAL and ABCURL make variables
512 - Added BINDIR, LIBDIR, and DATDIR make variables
513 - Added PKG_CONFIG make variable
514 - Added SEED make variable (for "make test")
515 - Added YOSYS_VER_STR make variable
516 - Updated min GCC requirement to GCC 4.8
517 - Updated required Bison version to Bison 3.x
518
519 * Internal APIs
520 - Added ast.h to exported headers
521 - Added ScriptPass helper class for script-like passes
522 - Added CellEdgesDatabase API
523
524 * Front-ends and Back-ends
525 - Added filename glob support to all front-ends
526 - Added avail (black-box) module params to ilang format
527 - Added $display %m support
528 - Added support for $stop Verilog system task
529 - Added support for SystemVerilog packages
530 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
531 - Added support for "active high" and "active low" latches in read_blif and write_blif
532 - Use init value "2" for all uninitialized FFs in BLIF back-end
533 - Added "read_blif -sop"
534 - Added "write_blif -noalias"
535 - Added various write_blif options for VTR support
536 - write_json: also write module attributes.
537 - Added "write_verilog -nodec -nostr -defparam"
538 - Added "read_verilog -norestrict -assume-asserts"
539 - Added support for bus interfaces to "read_liberty -lib"
540 - Added liberty parser support for types within cell decls
541 - Added "write_verilog -renameprefix -v"
542 - Added "write_edif -nogndvcc"
543
544 * Formal Verification
545 - Support for hierarchical designs in smt2 back-end
546 - Yosys-smtbmc: Support for hierarchical VCD dumping
547 - Added $initstate cell type and vlog function
548 - Added $anyconst and $anyseq cell types and vlog functions
549 - Added printing of code loc of failed asserts to yosys-smtbmc
550 - Added memory_memx pass, "memory -memx", and "prep -memx"
551 - Added "proc_mux -ifx"
552 - Added "yosys-smtbmc -g"
553 - Deprecated "write_smt2 -regs" (by default on now)
554 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
555 - Added support for memories to smtio.py
556 - Added "yosys-smtbmc --dump-vlogtb"
557 - Added "yosys-smtbmc --smtc --dump-smtc"
558 - Added "yosys-smtbmc --dump-all"
559 - Added assertpmux command
560 - Added "yosys-smtbmc --unroll"
561 - Added $past, $stable, $rose, $fell SVA functions
562 - Added "yosys-smtbmc --noinfo and --dummy"
563 - Added "yosys-smtbmc --noincr"
564 - Added "yosys-smtbmc --cex <filename>"
565 - Added $ff and $_FF_ cell types
566 - Added $global_clock verilog syntax support for creating $ff cells
567 - Added clk2fflogic
568
569
570 Yosys 0.5 .. Yosys 0.6
571 ----------------------
572
573 * Various
574 - Added Contributor Covenant Code of Conduct
575 - Various improvements in dict<> and pool<>
576 - Added hashlib::mfp and refactored SigMap
577 - Improved support for reals as module parameters
578 - Various improvements in SMT2 back-end
579 - Added "keep_hierarchy" attribute
580 - Verilog front-end: define `BLACKBOX in -lib mode
581 - Added API for converting internal cells to AIGs
582 - Added ENABLE_LIBYOSYS Makefile option
583 - Removed "techmap -share_map" (use "-map +/filename" instead)
584 - Switched all Python scripts to Python 3
585 - Added support for $display()/$write() and $finish() to Verilog front-end
586 - Added "yosys-smtbmc" formal verification flow
587 - Added options for clang sanitizers to Makefile
588
589 * New commands and options
590 - Added "scc -expect <N> -nofeedback"
591 - Added "proc_dlatch"
592 - Added "check"
593 - Added "select %xe %cie %coe %M %C %R"
594 - Added "sat -dump_json" (WaveJSON format)
595 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
596 - Added "sat -stepsize" and "sat -tempinduct-step"
597 - Added "sat -show-regs -show-public -show-all"
598 - Added "write_json" (Native Yosys JSON format)
599 - Added "write_blif -attr"
600 - Added "dffinit"
601 - Added "chparam"
602 - Added "muxcover"
603 - Added "pmuxtree"
604 - Added memory_bram "make_outreg" feature
605 - Added "splice -wires"
606 - Added "dff2dffe -direct-match"
607 - Added simplemap $lut support
608 - Added "read_blif"
609 - Added "opt_share -share_all"
610 - Added "aigmap"
611 - Added "write_smt2 -mem -regs -wires"
612 - Added "memory -nordff"
613 - Added "write_smv"
614 - Added "synth -nordff -noalumacc"
615 - Added "rename -top new_name"
616 - Added "opt_const -clkinv"
617 - Added "synth -nofsm"
618 - Added "miter -assert"
619 - Added "read_verilog -noautowire"
620 - Added "read_verilog -nodpi"
621 - Added "tribuf"
622 - Added "lut2mux"
623 - Added "nlutmap"
624 - Added "qwp"
625 - Added "test_cell -noeval"
626 - Added "edgetypes"
627 - Added "equiv_struct"
628 - Added "equiv_purge"
629 - Added "equiv_mark"
630 - Added "equiv_add -try -cell"
631 - Added "singleton"
632 - Added "abc -g -luts"
633 - Added "torder"
634 - Added "write_blif -cname"
635 - Added "submod -copy"
636 - Added "dffsr2dff"
637 - Added "stat -liberty"
638
639 * Synthesis metacommands
640 - Various improvements in synth_xilinx
641 - Added synth_ice40 and synth_greenpak4
642 - Added "prep" metacommand for "synthesis lite"
643
644 * Cell library changes
645 - Added cell types to "help" system
646 - Added $meminit cell type
647 - Added $assume cell type
648 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
649 - Added $tribuf and $_TBUF_ cell types
650 - Added read-enable to memory model
651
652 * YosysJS
653 - Various improvements in emscripten build
654 - Added alternative webworker-based JS API
655 - Added a few example applications
656
657
658 Yosys 0.4 .. Yosys 0.5
659 ----------------------
660
661 * API changes
662 - Added log_warning()
663 - Added eval_select_args() and eval_select_op()
664 - Added cell->known(), cell->input(portname), cell->output(portname)
665 - Skip blackbox modules in design->selected_modules()
666 - Replaced std::map<> and std::set<> with dict<> and pool<>
667 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
668 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
669
670 * Cell library changes
671 - Added flip-flops with enable ($dffe etc.)
672 - Added $equiv cells for equivalence checking framework
673
674 * Various
675 - Updated ABC to hg rev 61ad5f908c03
676 - Added clock domain partitioning to ABC pass
677 - Improved plugin building (see "yosys-config --build")
678 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
679 - Added "yosys -d", "yosys -L" and other driver improvements
680 - Added support for multi-bit (array) cell ports to "write_edif"
681 - Now printing most output to stdout, not stderr
682 - Added "onehot" attribute (set by "fsm_map")
683 - Various performance improvements
684 - Vastly improved Xilinx flow
685 - Added "make unsintall"
686
687 * Equivalence checking
688 - Added equivalence checking commands:
689 equiv_make equiv_simple equiv_status
690 equiv_induct equiv_miter
691 equiv_add equiv_remove
692
693 * Block RAM support:
694 - Added "memory_bram" command
695 - Added BRAM support to Xilinx flow
696
697 * Other New Commands and Options
698 - Added "dff2dffe"
699 - Added "fsm -encfile"
700 - Added "dfflibmap -prepare"
701 - Added "write_blid -unbuf -undef -blackbox"
702 - Added "write_smt2" for writing SMT-LIBv2 files
703 - Added "test_cell -w -muxdiv"
704 - Added "select -read"
705
706
707 Yosys 0.3.0 .. Yosys 0.4
708 ------------------------
709
710 * Platform Support
711 - Added support for mxe-based cross-builds for win32
712 - Added sourcecode-export as VisualStudio project
713 - Added experimental EMCC (JavaScript) support
714
715 * Verilog Frontend
716 - Added -sv option for SystemVerilog (and automatic *.sv file support)
717 - Added support for real-valued constants and constant expressions
718 - Added support for non-standard "via_celltype" attribute on task/func
719 - Added support for non-standard "module mod_name(...);" syntax
720 - Added support for non-standard """ macro bodies
721 - Added support for array with more than one dimension
722 - Added support for $readmemh and $readmemb
723 - Added support for DPI functions
724
725 * Changes in internal cell library
726 - Added $shift and $shiftx cell types
727 - Added $alu, $lcu, $fa and $macc cell types
728 - Removed $bu0 and $safe_pmux cell types
729 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
730 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
731 - Renamed ports of $lut cells (from I->O to A->Y)
732 - Renamed $_INV_ to $_NOT_
733
734 * Changes for simple synthesis flows
735 - There is now a "synth" command with a recommended default script
736 - Many improvements in synthesis of arithmetic functions to gates
737 - Multipliers and adders with many operands are using carry-save adder trees
738 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
739 - Various new high-level optimizations on RTL netlist
740 - Various improvements in FSM optimization
741 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
742
743 * Changes in internal APIs and RTLIL
744 - Added log_id() and log_cell() helper functions
745 - Added function-like cell creation helpers
746 - Added GetSize() function (like .size() but with int)
747 - Major refactoring of RTLIL::Module and related classes
748 - Major refactoring of RTLIL::SigSpec and related classes
749 - Now RTLIL::IdString is essentially an int
750 - Added macros for code coverage counters
751 - Added some Makefile magic for pretty make logs
752 - Added "kernel/yosys.h" with all the core definitions
753 - Changed a lot of code from FILE* to c++ streams
754 - Added RTLIL::Monitor API and "trace" command
755 - Added "Yosys" C++ namespace
756
757 * Changes relevant to SAT solving
758 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
759 - Added native ezSAT support for vector shift ops
760 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
761
762 * New commands (or large improvements to commands)
763 - Added "synth" command with default script
764 - Added "share" (finally some real resource sharing)
765 - Added "memory_share" (reduce number of ports on memories)
766 - Added "wreduce" and "alumacc" commands
767 - Added "opt -keepdc -fine -full -fast"
768 - Added some "test_*" commands
769
770 * Various other changes
771 - Added %D and %c select operators
772 - Added support for labels in yosys scripts
773 - Added support for here-documents in yosys scripts
774 - Support "+/" prefix for files from proc_share_dir
775 - Added "autoidx" statement to ilang language
776 - Switched from "yosys-svgviewer" to "xdot"
777 - Renamed "stdcells.v" to "techmap.v"
778 - Various bug fixes and small improvements
779 - Improved welcome and bye messages
780
781
782 Yosys 0.2.0 .. Yosys 0.3.0
783 --------------------------
784
785 * Driver program and overall behavior:
786 - Added "design -push" and "design -pop"
787 - Added "tee" command for redirecting log output
788
789 * Changes in the internal cell library:
790 - Added $dlatchsr and $_DLATCHSR_???_ cell types
791
792 * Improvements in Verilog frontend:
793 - Improved support for const functions (case, always, repeat)
794 - The generate..endgenerate keywords are now optional
795 - Added support for arrays of module instances
796 - Added support for "`default_nettype" directive
797 - Added support for "`line" directive
798
799 * Other front- and back-ends:
800 - Various changes to "write_blif" options
801 - Various improvements in EDIF backend
802 - Added "vhdl2verilog" pseudo-front-end
803 - Added "verific" pseudo-front-end
804
805 * Improvements in technology mapping:
806 - Added support for recursive techmap
807 - Added CONSTMSK and CONSTVAL features to techmap
808 - Added _TECHMAP_CONNMAP_*_ feature to techmap
809 - Added _TECHMAP_REPLACE_ feature to techmap
810 - Added "connwrappers" command for wrap-extract-unwrap method
811 - Added "extract -map %<design_name>" feature
812 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
813 - Added "techmap -max_iter" option
814
815 * Improvements to "eval" and "sat" framework:
816 - Now include a copy of Minisat (with build fixes applied)
817 - Switched to Minisat::SimpSolver as SAT back-end
818 - Added "sat -dump_vcd" feature
819 - Added "sat -dump_cnf" feature
820 - Added "sat -initsteps <N>" feature
821 - Added "freduce -stop <N>" feature
822 - Added "freduce -dump <prefix>" feature
823
824 * Integration with ABC:
825 - Updated ABC rev to 7600ffb9340c
826
827 * Improvements in the internal APIs:
828 - Added RTLIL::Module::add... helper methods
829 - Various build fixes for OSX (Darwin) and OpenBSD
830
831
832 Yosys 0.1.0 .. Yosys 0.2.0
833 --------------------------
834
835 * Changes to the driver program:
836 - Added "yosys -h" and "yosys -H"
837 - Added support for backslash line continuation in scripts
838 - Added support for #-comments in same line as command
839 - Added "echo" and "log" commands
840
841 * Improvements in Verilog frontend:
842 - Added support for local registers in named blocks
843 - Added support for "case" in "generate" blocks
844 - Added support for $clog2 system function
845 - Added support for basic SystemVerilog assert statements
846 - Added preprocessor support for macro arguments
847 - Added preprocessor support for `elsif statement
848 - Added "verilog_defaults" command
849 - Added read_verilog -icells option
850 - Added support for constant sizes from parameters
851 - Added "read_verilog -setattr"
852 - Added support for function returning 'integer'
853 - Added limited support for function calls in parameter values
854 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
855
856 * Other front- and back-ends:
857 - Added BTOR backend
858 - Added Liberty frontend
859
860 * Improvements in technology mapping:
861 - The "dfflibmap" command now strongly prefers solutions with
862 no inverters in clock paths
863 - The "dfflibmap" command now prefers cells with smaller area
864 - Added support for multiple -map options to techmap
865 - Added "dfflibmap" support for //-comments in liberty files
866 - Added "memory_unpack" command to revert "memory_collect"
867 - Added standard techmap rule "techmap -share_map pmux2mux.v"
868 - Added "iopadmap -bits"
869 - Added "setundef" command
870 - Added "hilomap" command
871
872 * Changes in the internal cell library:
873 - Major rewrite of simlib.v for better compatibility with other tools
874 - Added PRIORITY parameter to $memwr cells
875 - Added TRANSPARENT parameter to $memrd cells
876 - Added RD_TRANSPARENT parameter to $mem cells
877 - Added $bu0 cell (always 0-extend, even undef MSB)
878 - Added $assert cell type
879 - Added $slice and $concat cell types
880
881 * Integration with ABC:
882 - Updated ABC to hg rev 2058c8ccea68
883 - Tighter integration of ABC build with Yosys build. The make
884 targets 'make abc' and 'make install-abc' are now obsolete.
885 - Added support for passing FFs from one clock domain through ABC
886 - Now always use BLIF as exchange format with ABC
887 - Added support for "abc -script +<command_sequence>"
888 - Improved standard ABC recipe
889 - Added support for "keep" attribute to abc command
890 - Added "abc -dff / -clk / -keepff" options
891
892 * Improvements to "eval" and "sat" framework:
893 - Added support for "0" and "~0" in right-hand side -set expressions
894 - Added "eval -set-undef" and "eval -table"
895 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
896 - Added undef support to SAT solver, incl. various new "sat" options
897 - Added correct support for === and !== for "eval" and "sat"
898 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
899 - Added "sat -prove-asserts"
900 - Complete rewrite of the 'freduce' command
901 - Added "miter" command
902 - Added "sat -show-inputs" and "sat -show-outputs"
903 - Added "sat -ignore_unknown_cells" (now produce an error by default)
904 - Added "sat -falsify"
905 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
906 - Added "expose" command
907 - Added support for @<sel_name> to sat and eval signal expressions
908
909 * Changes in the 'make test' framework and auxiliary test tools:
910 - Added autotest.sh -p and -f options
911 - Replaced autotest.sh ISIM support with XSIM support
912 - Added test cases for SAT framework
913
914 * Added "abbreviated IDs":
915 - Now $<something>$foo can be abbreviated as $foo.
916 - Usually this last part is a unique id (from RTLIL::autoidx)
917 - This abbreviated IDs are now also used in "show" output
918
919 * Other changes to selection framework:
920 - Now */ is optional in */<mode>:<arg> expressions
921 - Added "select -assert-none" and "select -assert-any"
922 - Added support for matching modules by attribute (A:<expr>)
923 - Added "select -none"
924 - Added support for r:<expr> pattern for matching cell parameters
925 - Added support for !=, <, <=, >=, > for attribute and parameter matching
926 - Added support for %s for selecting sub-modules
927 - Added support for %m for expanding selections to whole modules
928 - Added support for i:*, o:* and x:* pattern for selecting module ports
929 - Added support for s:<expr> pattern for matching wire width
930 - Added support for %a operation to select wire aliases
931
932 * Various other changes to commands and options:
933 - The "ls" command now supports wildcards
934 - Added "show -pause" and "show -format dot"
935 - Added "show -color" support for cells
936 - Added "show -label" and "show -notitle"
937 - Added "dump -m" and "dump -n"
938 - Added "history" command
939 - Added "rename -hide"
940 - Added "connect" command
941 - Added "splitnets -driver"
942 - Added "opt_const -mux_undef"
943 - Added "opt_const -mux_bool"
944 - Added "opt_const -undriven"
945 - Added "opt -mux_undef -mux_bool -undriven -purge"
946 - Added "hierarchy -libdir"
947 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
948 - Added "delete" command
949 - Added "dump -append"
950 - Added "setattr" and "setparam" commands
951 - Added "design -stash/-copy-from/-copy-to"
952 - Added "copy" command
953 - Added "splice" command
954