sv: support wand and wor of data types
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added automatic gzip decompression for frontends
11 - Added $_NMUX_ cell type
12 - Added automatic gzip compression (based on filename extension) for backends
13 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
14 bit vectors and strings containing [01xz]*
15 - Improvements in pmgen: subpattern and recursive matches
16 - Support explicit FIRRTL properties
17 - Improvements in pmgen: slices, choices, define, generate
18 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
19 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
20 - Added new frontend: rpc
21 - Added --version and -version as aliases for -V
22 - Improve yosys-smtbmc "solver not found" handling
23 - Improved support of $readmem[hb] Memory Content File inclusion
24 - Added CXXRTL backend
25 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
26 - Added WASI platform support.
27 - Added extmodule support to firrtl backend
28 - Added $divfloor and $modfloor cells
29 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
30 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
31 - Added firrtl backend support for generic parameters in blackbox components
32 - Added $meminit_v2 cells (with support for write mask)
33 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
34 - write priority masks, per write/write port pair
35 - transparency and undefined collision behavior masks, per read/write port pair
36 - read port reset and initialization
37 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
38
39 * New commands and options
40 - Added "write_xaiger" backend
41 - Added "read_xaiger"
42 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
43 - Added "synth -abc9" (experimental)
44 - Added "script -scriptwire"
45 - Added "clkbufmap" pass
46 - Added "extractinv" pass and "invertible_pin" attribute
47 - Added "proc_clean -quiet"
48 - Added "proc_prune" pass
49 - Added "stat -tech cmos"
50 - Added "opt_share" pass, run as part of "opt -full"
51 - Added "-match-init" option to "dff2dffs" pass
52 - Added "equiv_opt -multiclock"
53 - Added "techmap_autopurge" support to techmap
54 - Added "add -mod <modname[s]>"
55 - Added "paramap" pass
56 - Added "portlist" command
57 - Added "check -mapped"
58 - Added "check -allow-tbuf"
59 - Added "autoname" pass
60 - Added "write_verilog -extmem"
61 - Added "opt_mem" pass
62 - Added "scratchpad" pass
63 - Added "fminit" pass
64 - Added "opt_lut_ins" pass
65 - Added "logger" pass
66 - Added "show -nobg"
67 - Added "exec" command
68 - Added "design -delete"
69 - Added "design -push-copy"
70 - Added "qbfsat" command
71 - Added "select -unset"
72 - Added "dfflegalize" pass
73 - Removed "opt_expr -clkinv" option, made it the default
74 - Added "proc -nomux
75 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
76
77 * SystemVerilog
78 - Added checking of always block types (always_comb, always_latch and always_ff)
79 - Added support for wildcard port connections (.*)
80 - Added support for enum typedefs
81 - Added support for structs and packed unions.
82 - Allow constant function calls in for loops and generate if and case
83 - Added support for static cast
84 - Added support for logic typed parameters
85 - Fixed generate scoping issues
86 - Added support for real-valued parameters
87 - Allow localparams in constant functions
88 - Module name scope support
89 - Support recursive functions using ternary expressions
90 - Extended support for integer types
91 - Support for parameters without default values
92 - Allow globals in one file to depend on globals in another
93 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
94 - Added support for parsing the 'bind' construct
95 - support declaration in procedural for initialization
96 - support declaration in generate for initialization
97 - Support wand and wor of data types
98
99 * Verific support
100 - Added "verific -L"
101 - Add Verific SVA support for "always" properties
102 - Add Verific support for SVA nexttime properties
103 - Improve handling of verific primitives in "verific -import -V" mode
104 - Import attributes for wires
105 - Support VHDL enums
106 - Added support for command files
107
108 * New back-ends
109 - Added initial EFINIX support
110 - Added Intel ALM: alternative synthesis for Intel FPGAs
111 - Added initial Nexus support
112 - Added initial MachXO2 support
113 - Added initial QuickLogic PolarPro 3 support
114
115 * ECP5 support
116 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
117 - Added "synth_ecp5 -abc9" (experimental)
118 - Added "synth_ecp5 -nowidelut"
119 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
120
121 * iCE40 support
122 - Added "synth_ice40 -abc9" (experimental)
123 - Added "synth_ice40 -device"
124 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
125 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
126 - Removed "ice40_unlut"
127 - Added "ice40_dsp" for Lattice iCE40 DSP packing
128 - "synth_ice40 -dsp" to infer DSP blocks
129
130 * Xilinx support
131 - Added "synth_xilinx -abc9" (experimental)
132 - Added "synth_xilinx -nocarry"
133 - Added "synth_xilinx -nowidelut"
134 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
135 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
136 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
137 - Added "synth_xilinx -ise" (experimental)
138 - Added "synth_xilinx -iopad"
139 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
140 - Added "xilinx_srl" for Xilinx shift register extraction
141 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
142 - Added "xilinx_dsp" for Xilinx DSP packing
143 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
144 - Added latch support to synth_xilinx
145 - Added support for flip-flops with synchronous reset to synth_xilinx
146 - Added support for flip-flops with reset and enable to synth_xilinx
147 - Added "xilinx_dffopt" pass
148 - Added "synth_xilinx -dff"
149
150 * Intel support
151 - Renamed labels in synth_intel (e.g. bram -> map_bram)
152 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
153 - Added "intel_alm -abc9" (experimental)
154
155 * CoolRunner2 support
156 - Separate and improve buffer cell insertion pass
157 - Use extract_counter to optimize counters
158
159 Yosys 0.8 .. Yosys 0.9
160 ----------------------
161
162 * Various
163 - Many bugfixes and small improvements
164 - Added support for SystemVerilog interfaces and modports
165 - Added "write_edif -attrprop"
166 - Added "opt_lut" pass
167 - Added "gate2lut.v" techmap rule
168 - Added "rename -src"
169 - Added "equiv_opt" pass
170 - Added "flowmap" LUT mapping pass
171 - Added "rename -wire" to rename cells based on the wires they drive
172 - Added "bugpoint" for creating minimised testcases
173 - Added "write_edif -gndvccy"
174 - "write_verilog" to escape Verilog keywords
175 - Fixed sign handling of real constants
176 - "write_verilog" to write initial statement for initial flop state
177 - Added pmgen pattern matcher generator
178 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
179 - Added "setundef -params" to replace undefined cell parameters
180 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
181 - Fixed handling of defparam when default_nettype is none
182 - Fixed "wreduce" flipflop handling
183 - Fixed FIRRTL to Verilog process instance subfield assignment
184 - Added "write_verilog -siminit"
185 - Several fixes and improvements for mem2reg memories
186 - Fixed handling of task output ports in clocked always blocks
187 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
188 - Added "read_aiger" frontend
189 - Added "mutate" pass
190 - Added "hdlname" attribute
191 - Added "rename -output"
192 - Added "read_ilang -lib"
193 - Improved "proc" full_case detection and handling
194 - Added "whitebox" and "lib_whitebox" attributes
195 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
196 - Added Python bindings and support for Python plug-ins
197 - Added "pmux2shiftx"
198 - Added log_debug framework for reduced default verbosity
199 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
200 - Added "peepopt" peephole optimisation pass using pmgen
201 - Added approximate support for SystemVerilog "var" keyword
202 - Added parsing of "specify" blocks into $specrule and $specify[23]
203 - Added support for attributes on parameters and localparams
204 - Added support for parsing attributes on port connections
205 - Added "wreduce -keepdc"
206 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
207 - Added Verilog wand/wor wire type support
208 - Added support for elaboration system tasks
209 - Added "muxcover -mux{4,8,16}=<cost>"
210 - Added "muxcover -dmux=<cost>"
211 - Added "muxcover -nopartial"
212 - Added "muxpack" pass
213 - Added "pmux2shiftx -norange"
214 - Added support for "~" in filename parsing
215 - Added "read_verilog -pwires" feature to turn parameters into wires
216 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
217 - Fixed genvar to be a signed type
218 - Added support for attributes on case rules
219 - Added "upto" and "offset" to JSON frontend and backend
220 - Several liberty file parser improvements
221 - Fixed handling of more complex BRAM patterns
222 - Add "write_aiger -I -O -B"
223
224 * Formal Verification
225 - Added $changed support to read_verilog
226 - Added "read_verilog -noassert -noassume -assert-assumes"
227 - Added btor ops for $mul, $div, $mod and $concat
228 - Added yosys-smtbmc support for btor witnesses
229 - Added "supercover" pass
230 - Fixed $global_clock handling vs autowire
231 - Added $dffsr support to "async2sync"
232 - Added "fmcombine" pass
233 - Added memory init support in "write_btor"
234 - Added "cutpoint" pass
235 - Changed "ne" to "neq" in btor2 output
236 - Added support for SVA "final" keyword
237 - Added "fmcombine -initeq -anyeq"
238 - Added timescale and generated-by header to yosys-smtbmc vcd output
239 - Improved BTOR2 handling of undriven wires
240
241 * Verific support
242 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
243 - Improved support for asymmetric memories
244 - Added "verific -chparam"
245 - Fixed "verific -extnets" for more complex situations
246 - Added "read -verific" and "read -noverific"
247 - Added "hierarchy -chparam"
248
249 * New back-ends
250 - Added initial Anlogic support
251 - Added initial SmartFusion2 and IGLOO2 support
252
253 * ECP5 support
254 - Added "synth_ecp5 -nowidelut"
255 - Added BRAM inference support to "synth_ecp5"
256 - Added support for transforming Diamond IO and flipflop primitives
257
258 * iCE40 support
259 - Added "ice40_unlut" pass
260 - Added "synth_ice40 -relut"
261 - Added "synth_ice40 -noabc"
262 - Added "synth_ice40 -dffe_min_ce_use"
263 - Added DSP inference support using pmgen
264 - Added support for initialising BRAM primitives from a file
265 - Added iCE40 Ultra RGB LED driver cells
266
267 * Xilinx support
268 - Use "write_edif -pvector bra" for Xilinx EDIF files
269 - Fixes for VPR place and route support with "synth_xilinx"
270 - Added more cell simulation models
271 - Added "synth_xilinx -family"
272 - Added "stat -tech xilinx" to estimate logic cell usage
273 - Added "synth_xilinx -nocarry"
274 - Added "synth_xilinx -nowidelut"
275 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
276 - Added support for mapping RAM32X1D
277
278 Yosys 0.7 .. Yosys 0.8
279 ----------------------
280
281 * Various
282 - Many bugfixes and small improvements
283 - Strip debug symbols from installed binary
284 - Replace -ignore_redef with -[no]overwrite in front-ends
285 - Added write_verilog hex dump support, add -nohex option
286 - Added "write_verilog -decimal"
287 - Added "scc -set_attr"
288 - Added "verilog_defines" command
289 - Remember defines from one read_verilog to next
290 - Added support for hierarchical defparam
291 - Added FIRRTL back-end
292 - Improved ABC default scripts
293 - Added "design -reset-vlog"
294 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
295 - Added Verilog $rtoi and $itor support
296 - Added "check -initdrv"
297 - Added "read_blif -wideports"
298 - Added support for SystemVerilog "++" and "--" operators
299 - Added support for SystemVerilog unique, unique0, and priority case
300 - Added "write_edif" options for edif "flavors"
301 - Added support for resetall compiler directive
302 - Added simple C beck-end (bitwise combinatorical only atm)
303 - Added $_ANDNOT_ and $_ORNOT_ cell types
304 - Added cell library aliases to "abc -g"
305 - Added "setundef -anyseq"
306 - Added "chtype" command
307 - Added "design -import"
308 - Added "write_table" command
309 - Added "read_json" command
310 - Added "sim" command
311 - Added "extract_fa" and "extract_reduce" commands
312 - Added "extract_counter" command
313 - Added "opt_demorgan" command
314 - Added support for $size and $bits SystemVerilog functions
315 - Added "blackbox" command
316 - Added "ltp" command
317 - Added support for editline as replacement for readline
318 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
319 - Added "yosys -E" for creating Makefile dependencies files
320 - Added "synth -noshare"
321 - Added "memory_nordff"
322 - Added "setundef -undef -expose -anyconst"
323 - Added "expose -input"
324 - Added specify/specparam parser support (simply ignore them)
325 - Added "write_blif -inames -iattr"
326 - Added "hierarchy -simcheck"
327 - Added an option to statically link abc into yosys
328 - Added protobuf back-end
329 - Added BLIF parsing support for .conn and .cname
330 - Added read_verilog error checking for reg/wire/logic misuse
331 - Added "make coverage" and ENABLE_GCOV build option
332
333 * Changes in Yosys APIs
334 - Added ConstEval defaultval feature
335 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
336 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
337 - Added log_file_warning() and log_file_error() functions
338
339 * Formal Verification
340 - Added "write_aiger"
341 - Added "yosys-smtbmc --aig"
342 - Added "always <positive_int>" to .smtc format
343 - Added $cover cell type and support for cover properties
344 - Added $fair/$live cell type and support for liveness properties
345 - Added smtbmc support for memory vcd dumping
346 - Added "chformal" command
347 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
348 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
349 - Change to Yices2 as default SMT solver (it is GPL now)
350 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
351 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
352 - Added a brand new "write_btor" command for BTOR2
353 - Added clk2fflogic memory support and other improvements
354 - Added "async memory write" support to write_smt2
355 - Simulate clock toggling in yosys-smtbmc VCD output
356 - Added $allseq/$allconst cells for EA-solving
357 - Make -nordff the default in "prep"
358 - Added (* gclk *) attribute
359 - Added "async2sync" pass for single-clock designs with async resets
360
361 * Verific support
362 - Many improvements in Verific front-end
363 - Added proper handling of concurent SVA properties
364 - Map "const" and "rand const" to $anyseq/$anyconst
365 - Added "verific -import -flatten" and "verific -import -extnets"
366 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
367 - Remove PSL support (because PSL has been removed in upstream Verific)
368 - Improve integration with "hierarchy" command design elaboration
369 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
370 - Added simpilied "read" command that automatically uses verific if available
371 - Added "verific -set-<severity> <msg_id>.."
372 - Added "verific -work <libname>"
373
374 * New back-ends
375 - Added initial Coolrunner-II support
376 - Added initial eASIC support
377 - Added initial ECP5 support
378
379 * GreenPAK Support
380 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
381
382 * iCE40 Support
383 - Add "synth_ice40 -vpr"
384 - Add "synth_ice40 -nodffe"
385 - Add "synth_ice40 -json"
386 - Add Support for UltraPlus cells
387
388 * MAX10 and Cyclone IV Support
389 - Added initial version of metacommand "synth_intel".
390 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
391 - Added support for MAX10 FPGA family synthesis.
392 - Added support for Cyclone IV family synthesis.
393 - Added example of implementation for DE2i-150 board.
394 - Added example of implementation for MAX10 development kit.
395 - Added LFSR example from Asic World.
396 - Added "dffinit -highlow" for mapping to Intel primitives
397
398
399 Yosys 0.6 .. Yosys 0.7
400 ----------------------
401
402 * Various
403 - Added "yosys -D" feature
404 - Added support for installed plugins in $(DATDIR)/plugins/
405 - Renamed opt_const to opt_expr
406 - Renamed opt_share to opt_merge
407 - Added "prep -flatten" and "synth -flatten"
408 - Added "prep -auto-top" and "synth -auto-top"
409 - Using "mfs" and "lutpack" in ABC lut mapping
410 - Support for abstract modules in chparam
411 - Cleanup abstract modules at end of "hierarchy -top"
412 - Added tristate buffer support to iopadmap
413 - Added opt_expr support for div/mod by power-of-two
414 - Added "select -assert-min <N> -assert-max <N>"
415 - Added "attrmvcp" pass
416 - Added "attrmap" command
417 - Added "tee +INT -INT"
418 - Added "zinit" pass
419 - Added "setparam -type"
420 - Added "shregmap" pass
421 - Added "setundef -init"
422 - Added "nlutmap -assert"
423 - Added $sop cell type and "abc -sop -I <num> -P <num>"
424 - Added "dc2" to default ABC scripts
425 - Added "deminout"
426 - Added "insbuf" command
427 - Added "prep -nomem"
428 - Added "opt_rmdff -keepdc"
429 - Added "prep -nokeepdc"
430 - Added initial version of "synth_gowin"
431 - Added "fsm_expand -full"
432 - Added support for fsm_encoding="user"
433 - Many improvements in GreenPAK4 support
434 - Added black box modules for all Xilinx 7-series lib cells
435 - Added synth_ice40 support for latches via logic loops
436 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
437
438 * Build System
439 - Added ABCEXTERNAL and ABCURL make variables
440 - Added BINDIR, LIBDIR, and DATDIR make variables
441 - Added PKG_CONFIG make variable
442 - Added SEED make variable (for "make test")
443 - Added YOSYS_VER_STR make variable
444 - Updated min GCC requirement to GCC 4.8
445 - Updated required Bison version to Bison 3.x
446
447 * Internal APIs
448 - Added ast.h to exported headers
449 - Added ScriptPass helper class for script-like passes
450 - Added CellEdgesDatabase API
451
452 * Front-ends and Back-ends
453 - Added filename glob support to all front-ends
454 - Added avail (black-box) module params to ilang format
455 - Added $display %m support
456 - Added support for $stop Verilog system task
457 - Added support for SystemVerilog packages
458 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
459 - Added support for "active high" and "active low" latches in read_blif and write_blif
460 - Use init value "2" for all uninitialized FFs in BLIF back-end
461 - Added "read_blif -sop"
462 - Added "write_blif -noalias"
463 - Added various write_blif options for VTR support
464 - write_json: also write module attributes.
465 - Added "write_verilog -nodec -nostr -defparam"
466 - Added "read_verilog -norestrict -assume-asserts"
467 - Added support for bus interfaces to "read_liberty -lib"
468 - Added liberty parser support for types within cell decls
469 - Added "write_verilog -renameprefix -v"
470 - Added "write_edif -nogndvcc"
471
472 * Formal Verification
473 - Support for hierarchical designs in smt2 back-end
474 - Yosys-smtbmc: Support for hierarchical VCD dumping
475 - Added $initstate cell type and vlog function
476 - Added $anyconst and $anyseq cell types and vlog functions
477 - Added printing of code loc of failed asserts to yosys-smtbmc
478 - Added memory_memx pass, "memory -memx", and "prep -memx"
479 - Added "proc_mux -ifx"
480 - Added "yosys-smtbmc -g"
481 - Deprecated "write_smt2 -regs" (by default on now)
482 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
483 - Added support for memories to smtio.py
484 - Added "yosys-smtbmc --dump-vlogtb"
485 - Added "yosys-smtbmc --smtc --dump-smtc"
486 - Added "yosys-smtbmc --dump-all"
487 - Added assertpmux command
488 - Added "yosys-smtbmc --unroll"
489 - Added $past, $stable, $rose, $fell SVA functions
490 - Added "yosys-smtbmc --noinfo and --dummy"
491 - Added "yosys-smtbmc --noincr"
492 - Added "yosys-smtbmc --cex <filename>"
493 - Added $ff and $_FF_ cell types
494 - Added $global_clock verilog syntax support for creating $ff cells
495 - Added clk2fflogic
496
497
498 Yosys 0.5 .. Yosys 0.6
499 ----------------------
500
501 * Various
502 - Added Contributor Covenant Code of Conduct
503 - Various improvements in dict<> and pool<>
504 - Added hashlib::mfp and refactored SigMap
505 - Improved support for reals as module parameters
506 - Various improvements in SMT2 back-end
507 - Added "keep_hierarchy" attribute
508 - Verilog front-end: define `BLACKBOX in -lib mode
509 - Added API for converting internal cells to AIGs
510 - Added ENABLE_LIBYOSYS Makefile option
511 - Removed "techmap -share_map" (use "-map +/filename" instead)
512 - Switched all Python scripts to Python 3
513 - Added support for $display()/$write() and $finish() to Verilog front-end
514 - Added "yosys-smtbmc" formal verification flow
515 - Added options for clang sanitizers to Makefile
516
517 * New commands and options
518 - Added "scc -expect <N> -nofeedback"
519 - Added "proc_dlatch"
520 - Added "check"
521 - Added "select %xe %cie %coe %M %C %R"
522 - Added "sat -dump_json" (WaveJSON format)
523 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
524 - Added "sat -stepsize" and "sat -tempinduct-step"
525 - Added "sat -show-regs -show-public -show-all"
526 - Added "write_json" (Native Yosys JSON format)
527 - Added "write_blif -attr"
528 - Added "dffinit"
529 - Added "chparam"
530 - Added "muxcover"
531 - Added "pmuxtree"
532 - Added memory_bram "make_outreg" feature
533 - Added "splice -wires"
534 - Added "dff2dffe -direct-match"
535 - Added simplemap $lut support
536 - Added "read_blif"
537 - Added "opt_share -share_all"
538 - Added "aigmap"
539 - Added "write_smt2 -mem -regs -wires"
540 - Added "memory -nordff"
541 - Added "write_smv"
542 - Added "synth -nordff -noalumacc"
543 - Added "rename -top new_name"
544 - Added "opt_const -clkinv"
545 - Added "synth -nofsm"
546 - Added "miter -assert"
547 - Added "read_verilog -noautowire"
548 - Added "read_verilog -nodpi"
549 - Added "tribuf"
550 - Added "lut2mux"
551 - Added "nlutmap"
552 - Added "qwp"
553 - Added "test_cell -noeval"
554 - Added "edgetypes"
555 - Added "equiv_struct"
556 - Added "equiv_purge"
557 - Added "equiv_mark"
558 - Added "equiv_add -try -cell"
559 - Added "singleton"
560 - Added "abc -g -luts"
561 - Added "torder"
562 - Added "write_blif -cname"
563 - Added "submod -copy"
564 - Added "dffsr2dff"
565 - Added "stat -liberty"
566
567 * Synthesis metacommands
568 - Various improvements in synth_xilinx
569 - Added synth_ice40 and synth_greenpak4
570 - Added "prep" metacommand for "synthesis lite"
571
572 * Cell library changes
573 - Added cell types to "help" system
574 - Added $meminit cell type
575 - Added $assume cell type
576 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
577 - Added $tribuf and $_TBUF_ cell types
578 - Added read-enable to memory model
579
580 * YosysJS
581 - Various improvements in emscripten build
582 - Added alternative webworker-based JS API
583 - Added a few example applications
584
585
586 Yosys 0.4 .. Yosys 0.5
587 ----------------------
588
589 * API changes
590 - Added log_warning()
591 - Added eval_select_args() and eval_select_op()
592 - Added cell->known(), cell->input(portname), cell->output(portname)
593 - Skip blackbox modules in design->selected_modules()
594 - Replaced std::map<> and std::set<> with dict<> and pool<>
595 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
596 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
597
598 * Cell library changes
599 - Added flip-flops with enable ($dffe etc.)
600 - Added $equiv cells for equivalence checking framework
601
602 * Various
603 - Updated ABC to hg rev 61ad5f908c03
604 - Added clock domain partitioning to ABC pass
605 - Improved plugin building (see "yosys-config --build")
606 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
607 - Added "yosys -d", "yosys -L" and other driver improvements
608 - Added support for multi-bit (array) cell ports to "write_edif"
609 - Now printing most output to stdout, not stderr
610 - Added "onehot" attribute (set by "fsm_map")
611 - Various performance improvements
612 - Vastly improved Xilinx flow
613 - Added "make unsintall"
614
615 * Equivalence checking
616 - Added equivalence checking commands:
617 equiv_make equiv_simple equiv_status
618 equiv_induct equiv_miter
619 equiv_add equiv_remove
620
621 * Block RAM support:
622 - Added "memory_bram" command
623 - Added BRAM support to Xilinx flow
624
625 * Other New Commands and Options
626 - Added "dff2dffe"
627 - Added "fsm -encfile"
628 - Added "dfflibmap -prepare"
629 - Added "write_blid -unbuf -undef -blackbox"
630 - Added "write_smt2" for writing SMT-LIBv2 files
631 - Added "test_cell -w -muxdiv"
632 - Added "select -read"
633
634
635 Yosys 0.3.0 .. Yosys 0.4
636 ------------------------
637
638 * Platform Support
639 - Added support for mxe-based cross-builds for win32
640 - Added sourcecode-export as VisualStudio project
641 - Added experimental EMCC (JavaScript) support
642
643 * Verilog Frontend
644 - Added -sv option for SystemVerilog (and automatic *.sv file support)
645 - Added support for real-valued constants and constant expressions
646 - Added support for non-standard "via_celltype" attribute on task/func
647 - Added support for non-standard "module mod_name(...);" syntax
648 - Added support for non-standard """ macro bodies
649 - Added support for array with more than one dimension
650 - Added support for $readmemh and $readmemb
651 - Added support for DPI functions
652
653 * Changes in internal cell library
654 - Added $shift and $shiftx cell types
655 - Added $alu, $lcu, $fa and $macc cell types
656 - Removed $bu0 and $safe_pmux cell types
657 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
658 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
659 - Renamed ports of $lut cells (from I->O to A->Y)
660 - Renamed $_INV_ to $_NOT_
661
662 * Changes for simple synthesis flows
663 - There is now a "synth" command with a recommended default script
664 - Many improvements in synthesis of arithmetic functions to gates
665 - Multipliers and adders with many operands are using carry-save adder trees
666 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
667 - Various new high-level optimizations on RTL netlist
668 - Various improvements in FSM optimization
669 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
670
671 * Changes in internal APIs and RTLIL
672 - Added log_id() and log_cell() helper functions
673 - Added function-like cell creation helpers
674 - Added GetSize() function (like .size() but with int)
675 - Major refactoring of RTLIL::Module and related classes
676 - Major refactoring of RTLIL::SigSpec and related classes
677 - Now RTLIL::IdString is essentially an int
678 - Added macros for code coverage counters
679 - Added some Makefile magic for pretty make logs
680 - Added "kernel/yosys.h" with all the core definitions
681 - Changed a lot of code from FILE* to c++ streams
682 - Added RTLIL::Monitor API and "trace" command
683 - Added "Yosys" C++ namespace
684
685 * Changes relevant to SAT solving
686 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
687 - Added native ezSAT support for vector shift ops
688 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
689
690 * New commands (or large improvements to commands)
691 - Added "synth" command with default script
692 - Added "share" (finally some real resource sharing)
693 - Added "memory_share" (reduce number of ports on memories)
694 - Added "wreduce" and "alumacc" commands
695 - Added "opt -keepdc -fine -full -fast"
696 - Added some "test_*" commands
697
698 * Various other changes
699 - Added %D and %c select operators
700 - Added support for labels in yosys scripts
701 - Added support for here-documents in yosys scripts
702 - Support "+/" prefix for files from proc_share_dir
703 - Added "autoidx" statement to ilang language
704 - Switched from "yosys-svgviewer" to "xdot"
705 - Renamed "stdcells.v" to "techmap.v"
706 - Various bug fixes and small improvements
707 - Improved welcome and bye messages
708
709
710 Yosys 0.2.0 .. Yosys 0.3.0
711 --------------------------
712
713 * Driver program and overall behavior:
714 - Added "design -push" and "design -pop"
715 - Added "tee" command for redirecting log output
716
717 * Changes in the internal cell library:
718 - Added $dlatchsr and $_DLATCHSR_???_ cell types
719
720 * Improvements in Verilog frontend:
721 - Improved support for const functions (case, always, repeat)
722 - The generate..endgenerate keywords are now optional
723 - Added support for arrays of module instances
724 - Added support for "`default_nettype" directive
725 - Added support for "`line" directive
726
727 * Other front- and back-ends:
728 - Various changes to "write_blif" options
729 - Various improvements in EDIF backend
730 - Added "vhdl2verilog" pseudo-front-end
731 - Added "verific" pseudo-front-end
732
733 * Improvements in technology mapping:
734 - Added support for recursive techmap
735 - Added CONSTMSK and CONSTVAL features to techmap
736 - Added _TECHMAP_CONNMAP_*_ feature to techmap
737 - Added _TECHMAP_REPLACE_ feature to techmap
738 - Added "connwrappers" command for wrap-extract-unwrap method
739 - Added "extract -map %<design_name>" feature
740 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
741 - Added "techmap -max_iter" option
742
743 * Improvements to "eval" and "sat" framework:
744 - Now include a copy of Minisat (with build fixes applied)
745 - Switched to Minisat::SimpSolver as SAT back-end
746 - Added "sat -dump_vcd" feature
747 - Added "sat -dump_cnf" feature
748 - Added "sat -initsteps <N>" feature
749 - Added "freduce -stop <N>" feature
750 - Added "freduce -dump <prefix>" feature
751
752 * Integration with ABC:
753 - Updated ABC rev to 7600ffb9340c
754
755 * Improvements in the internal APIs:
756 - Added RTLIL::Module::add... helper methods
757 - Various build fixes for OSX (Darwin) and OpenBSD
758
759
760 Yosys 0.1.0 .. Yosys 0.2.0
761 --------------------------
762
763 * Changes to the driver program:
764 - Added "yosys -h" and "yosys -H"
765 - Added support for backslash line continuation in scripts
766 - Added support for #-comments in same line as command
767 - Added "echo" and "log" commands
768
769 * Improvements in Verilog frontend:
770 - Added support for local registers in named blocks
771 - Added support for "case" in "generate" blocks
772 - Added support for $clog2 system function
773 - Added support for basic SystemVerilog assert statements
774 - Added preprocessor support for macro arguments
775 - Added preprocessor support for `elsif statement
776 - Added "verilog_defaults" command
777 - Added read_verilog -icells option
778 - Added support for constant sizes from parameters
779 - Added "read_verilog -setattr"
780 - Added support for function returning 'integer'
781 - Added limited support for function calls in parameter values
782 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
783
784 * Other front- and back-ends:
785 - Added BTOR backend
786 - Added Liberty frontend
787
788 * Improvements in technology mapping:
789 - The "dfflibmap" command now strongly prefers solutions with
790 no inverters in clock paths
791 - The "dfflibmap" command now prefers cells with smaller area
792 - Added support for multiple -map options to techmap
793 - Added "dfflibmap" support for //-comments in liberty files
794 - Added "memory_unpack" command to revert "memory_collect"
795 - Added standard techmap rule "techmap -share_map pmux2mux.v"
796 - Added "iopadmap -bits"
797 - Added "setundef" command
798 - Added "hilomap" command
799
800 * Changes in the internal cell library:
801 - Major rewrite of simlib.v for better compatibility with other tools
802 - Added PRIORITY parameter to $memwr cells
803 - Added TRANSPARENT parameter to $memrd cells
804 - Added RD_TRANSPARENT parameter to $mem cells
805 - Added $bu0 cell (always 0-extend, even undef MSB)
806 - Added $assert cell type
807 - Added $slice and $concat cell types
808
809 * Integration with ABC:
810 - Updated ABC to hg rev 2058c8ccea68
811 - Tighter integration of ABC build with Yosys build. The make
812 targets 'make abc' and 'make install-abc' are now obsolete.
813 - Added support for passing FFs from one clock domain through ABC
814 - Now always use BLIF as exchange format with ABC
815 - Added support for "abc -script +<command_sequence>"
816 - Improved standard ABC recipe
817 - Added support for "keep" attribute to abc command
818 - Added "abc -dff / -clk / -keepff" options
819
820 * Improvements to "eval" and "sat" framework:
821 - Added support for "0" and "~0" in right-hand side -set expressions
822 - Added "eval -set-undef" and "eval -table"
823 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
824 - Added undef support to SAT solver, incl. various new "sat" options
825 - Added correct support for === and !== for "eval" and "sat"
826 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
827 - Added "sat -prove-asserts"
828 - Complete rewrite of the 'freduce' command
829 - Added "miter" command
830 - Added "sat -show-inputs" and "sat -show-outputs"
831 - Added "sat -ignore_unknown_cells" (now produce an error by default)
832 - Added "sat -falsify"
833 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
834 - Added "expose" command
835 - Added support for @<sel_name> to sat and eval signal expressions
836
837 * Changes in the 'make test' framework and auxiliary test tools:
838 - Added autotest.sh -p and -f options
839 - Replaced autotest.sh ISIM support with XSIM support
840 - Added test cases for SAT framework
841
842 * Added "abbreviated IDs":
843 - Now $<something>$foo can be abbreviated as $foo.
844 - Usually this last part is a unique id (from RTLIL::autoidx)
845 - This abbreviated IDs are now also used in "show" output
846
847 * Other changes to selection framework:
848 - Now */ is optional in */<mode>:<arg> expressions
849 - Added "select -assert-none" and "select -assert-any"
850 - Added support for matching modules by attribute (A:<expr>)
851 - Added "select -none"
852 - Added support for r:<expr> pattern for matching cell parameters
853 - Added support for !=, <, <=, >=, > for attribute and parameter matching
854 - Added support for %s for selecting sub-modules
855 - Added support for %m for expanding selections to whole modules
856 - Added support for i:*, o:* and x:* pattern for selecting module ports
857 - Added support for s:<expr> pattern for matching wire width
858 - Added support for %a operation to select wire aliases
859
860 * Various other changes to commands and options:
861 - The "ls" command now supports wildcards
862 - Added "show -pause" and "show -format dot"
863 - Added "show -color" support for cells
864 - Added "show -label" and "show -notitle"
865 - Added "dump -m" and "dump -n"
866 - Added "history" command
867 - Added "rename -hide"
868 - Added "connect" command
869 - Added "splitnets -driver"
870 - Added "opt_const -mux_undef"
871 - Added "opt_const -mux_bool"
872 - Added "opt_const -undriven"
873 - Added "opt -mux_undef -mux_bool -undriven -purge"
874 - Added "hierarchy -libdir"
875 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
876 - Added "delete" command
877 - Added "dump -append"
878 - Added "setattr" and "setparam" commands
879 - Added "design -stash/-copy-from/-copy-to"
880 - Added "copy" command
881 - Added "splice" command
882