9a358d36534df1e9b7d783d4421d1d8bb19feb1a
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 one.
137
138 ## ikiwiki
139
140 Runs the main libre-soc.org site (including this page). effective,
141 stunningly light on resources, and uses a git repository not a database.
142 That means it can be edited offline.
143
144 Usual deal: register an account and you can start editing and contributing
145 straight away.
146
147 Hint: to create a new page, find a suitable page that would link to it,
148 first, then put the link in of the page you want to create, as if the
149 page already exists. Save that page, and you will find a question mark
150 next to the new link you created. click that link, and it will fire up a
151 "create new page" editor.
152
153 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
154
155 Hint again: the wiki is backed by a git repository. Don't go overboard
156 but at the same time do not be afraid that you might "damage" or "lose"
157 pages. Although it would be a minor pain, the pages can always be
158 reverted or edited by the sysadmins to restore things if you get in a tiz.
159
160 Assistance in creating a much better theme greatly appreciated. e.g.
161 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
162
163 ## git
164
165 We use git. More on this below. We also use
166 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
167 again, it is extremely effective and low resource utilisation. Reminder:
168 lions are involved if github is mentioned.
169
170 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
171 does a decent job. <https://git.libre-soc.org/>
172
173 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
174 tracks changes to files so that previous versions can be got back or
175 compared.
176
177 Checklist page [[HDL_workflow/git_checklist]]
178
179 ## ftp server
180
181 <https://ftp.libre-soc.org/> is available for storing large files
182 that do not belong in a git repository, if we have (or ever need)
183 any. Images (etc.) if small and appropriate should go into the
184 wiki, however .tgz archives (etc.) and, at some point, binaries,
185 should be on the ftp server.
186
187 Ask on the list if you have a file that belongs on the ftp server.
188
189 ## server
190
191 As an aside: all this is "old school" and run on a single core 512MB
192 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
193 mythic-beasts and means that the project is in no way dependent on anyone
194 else - not microsoft, not google, not facebook, not amazon.
195
196 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
197 don't ask to replace the above extremely resource-efficient services
198 with it.
199
200 # Hardware
201
202 RAM is the biggest requirement. Minimum 16GB, the more the better (32
203 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
204 critical: 256GB SSD should be more than adequate. Simulations and
205 FPGA compilations however are where raw processing power is a must.
206 High end Graphics Cards are nonessential.
207
208 What is particularly useful is to have hi-res screens (curved is
209 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
210 going "prism" through long term use), and to have several of them: the
211 more the better. Either a DisplayLink UD160A (or more modern variant)
212 or simply using a second machine (lower spec hardware because it will
213 run editors) is really effective.
214
215 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
216 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
217 than 16:9 particularly when using several of them. However, caveat
218 (details below): please when editing do not assume that everyone will
219 have access to such high resolution screens.
220
221 # Operating System
222
223 First install and become familiar with
224 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
225 if you absolutely
226 must) for standardisation cross-team and so that toolchain installation
227 is greatly simplified. yosys in particular warns that trying to use
228 Windows, BSD or MacOS will get you into a world of pain.
229
230 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
231 sufficient (alongside wicd-gtk for network management). Other more
232 complex desktops can be used however may consume greater resources.
233
234 # editors and editing
235
236 Whilst this is often a personal choice, the fact that many editors are
237 GUI based and run full-screen with the entire right hand side *and* middle
238 *and* the majority of the left side of the hi-res screen entirely unused
239 and bereft of text leaves experienced developers both amused and puzzled.
240
241 At the point where such full-screen users commit code with line lengths
242 well over 160 characters, that amusement quickly evaporates.
243
244 Where the problems occur with full-screen editor usage is when a project
245 is split into dozens if not hundreds of small files (as this one is). At
246 that point it becomes pretty much essential to have as many as six to
247 eight files open *and on-screen* at once, without overlaps i.e. not in
248 hidden tabs, next to at least two if not three additional free and clear
249 terminals into which commands are regularly and routinely typed (make,
250 git commit, nosetests3 etc). Illustrated with the following 3840x2160
251 screenshot (click to view full image), where *every one* of those 80x70
252 xterm windows is *relevant to the task at hand*.
253
254 [[!img 2020-01-24_11-56.png size=640x ]]
255
256 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
257 additionally requiring a mouse click, can save a huge amount of cumulative
258 development time here, switching between editor terminal(s) and the
259 command terminals).
260
261 Once this becomes necessary, it it turn implies that having greater
262 than 80 chars per line - and running editors full-screen - is a severe
263 hinderance to an essential *and highly effective* workflow technique.
264
265 Additionally, care should be taken to respect that not everyone will have
266 200+ column editor windows and the eyesight of a hawk. They may only have
267 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
268 Consequently, having excessively long functions is also a hindrance to
269 others, as such developers with limited screen resources would need to
270 continuously page-up and page-down to read the code even of a single
271 function, in full.
272
273 This helps explain in part, below, why compliance with
274 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
275 In short: not everyone has the same "modern" GUI workflow or has access
276 to the same computing resources as you, so please do respect that.
277
278 More on this concept is
279 [here](https://www.linuxjournal.com/content/line-length-limits).
280 Note *very pointedly* that Linus Torvalds *specifically* states that
281 he does not want Linux kernel development to become the exclusive
282 domain of the "wealthy". That means **no** to assumptions about
283 access to ultra-high resolution screens.
284
285 # Software prerequisites<a name="software-prerequisites"></a>
286
287 **Please make sure if you install manually that you install dependencies
288 in strict order. Failing to adhere to this will result in pip3 downloading
289 unauthorised older software versions. See
290 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
291
292 Whilst many resources online advocate "`sudo`" in front of all root-level
293 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
294 root prompt, and save yourself some typing.
295
296 * sudo bash
297 * apt-get install vim exuberant-ctags
298 * apt-get install build-essential
299 * apt-get install git python3.7 python3.7-dev python3-nose
300 * apt-get install graphviz xdot gtkwave
301 * apt-get install python3-venv
302 * apt-get install python-virtualenv # this is an alternative to python3-venv
303 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
304 * return to user prompt (ctrl-d)
305
306 (The above assumes that you are running Debian.)
307
308 This will get you python3 and other tools that are
309 needed. [graphviz](https://graphviz.org/) is essential
310 for showing the interconnections between cells, and
311 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
312
313 If you would like to save yourself a lot more typing, check out the
314 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
315 repository, examine the scripts there and use them to automate much of
316 the process below.
317
318 If you would like just to install only the apt dependencies use
319 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
320
321 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
322
323 ## git
324
325 Look up good tutorials on how to use git effectively. There are so many
326 it is hard to recommend one. This is however essential. If you are not
327 comfortable with git, and you let things stay that way, it will seriously
328 impede development progress.
329
330 If working all day you should expect to be making at least two commits per
331 hour, so should become familiar with it very quickly. If you are *not*
332 doing around 2 commits per hour, something is wrong and you should read
333 the workflow instructions below more carefully, and also ask for advice
334 on the mailing list.
335
336 Worth noting: *this project does not use branches*. All code is committed
337 to master and we *require* that it be either zero-impact additions or that
338 relevant unit tests pass 100%. This ensures that people's work does not
339 get "lost" or isolated and out of touch due to major branch diversion,
340 and that people communicate and coordinate with each other.
341
342 This is not a hard rule: under special cirmstances branches can be useful.
343 They should not however be considered "routine".
344
345 For advice on commit messages see
346 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
347 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
348
349 ## yosys
350
351 Follow the source code (git clone) instructions here, do **not** use
352 the "stable" version (do not download the tarball):
353 <https://github.com/YosysHQ/yosys>
354
355 Or, alternatively, use the
356 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
357 script (which also installs symbiyosys and its dependencies)
358
359 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
360 evolving and frequently interacts with yosys.
361
362 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
363 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
364 language.
365 RTL [Register Transfer
366 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
367 models how data moves between
368 [registers](https://en.wikipedia.org/wiki/Hardware_register).
369
370 ## symbiyosys
371
372 To install follow the [instructions
373 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
374 Once done look at [A simple BMC
375 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
376
377 You do not have to install all of those (avy, boolector can be left
378 out if desired) however the more that are installed the more effective
379 the formal proof scripts will be (less resource utilisation in certain
380 circumstances).
381
382 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
383 front-end driver program for Yosys-based formal hardware verification
384 flows.
385
386 ## nmigen (TM)
387
388 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
389
390 **PLEASE NOTE: it is critical to install nmigen as the first dependency
391 prior to installing any further python-based Libre-SOC HDL repositories.
392 If "pip3 list" shows that nmigen has been auto-installed please remove it**
393
394 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
395
396 * mkdir ~/src
397 * cd !$
398 * git clone https://gitlab.com/nmigen/nmigen.git
399 * cd nmigen
400 * sudo bash
401 * python3 setup.py develop
402 * ctrl-d
403
404 Testing can then be carried out with "python3 setup.py test"
405
406 nmigen is a Python toolbox for building complex digital hardware.
407
408 ## Softfloat and sfpy
409
410 These are a test suite dependency for the
411 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
412 library, and will be changed in the future to use Jacob's
413 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
414 In the meantime, sfpy can be built as follows:
415
416 git clone --recursive https://github.com/billzorn/sfpy.git
417 cd sfpy
418 git apply /path/to/ieee754fpu/sfpy.patch
419 cd SoftPosit
420 git apply ../softposit_sfpy_build.patch
421 git apply /path/to/ieee754fpu/SoftPosit.patch
422 cd ../berkely-softfloat-3
423 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
424 # it contains the same changes as this one
425 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
426 cd ..
427
428 # prepare a virtual environment for building
429 python3 -m venv .env
430
431 # or, if you prefer the old way:
432 # virtualenv -p python3 .env
433
434 # install dependencies
435 source .env/bin/activate
436 pip3 install --upgrade -r requirements.txt
437
438 # build
439 make lib -j$(nproc)
440 make cython
441 make inplace -j$(nproc)
442 make wheel
443
444 # install
445 deactivate # deactivates venv, optional
446 pip3 install dist/sfpy*.whl
447
448 You can test your installation by doing the following:
449
450 python3
451 >>> from sfpy import Posit8
452 >>> Posit8(1.3)
453
454 It should print out `Posit8(1.3125)`
455
456 ## qemu, cross-compilers, gdb
457
458 As we are doing POWER ISA, POWER ISA compilers, toolchains and
459 emulators are required.
460 Again, if you want to save yourself some typing, use the dev scripts.
461 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
462 script will install the qemu;
463 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
464 script will install the toolchain and the corresponding debugger.
465 The steps are provided below only for reference; when in doubt,
466 consider checking and running the scripts.
467
468 Install powerpc64 gcc:
469
470 apt-get install gcc-8-powerpc64-linux-gnu
471
472 Install qemu:
473
474 apt-get install qemu-system-ppc
475
476 Install gdb from source. Obtain the required tarball matching
477 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
478 unpack it, then:
479
480 cd gdb-8.3 (or other location)
481 mkdir build
482 cd build
483 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
484 make -j$(nproc)
485 make install
486
487 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
488 programs. [qemu](https://www.qemu.org/) emulates processors, you can
489 run programs under qemu.
490
491 ## power-instruction-analyzer (pia)
492
493 We have a custom tool built in Rust by programmerjake to help analyze
494 the OpenPower instructions' execution on *actual* hardware.
495
496 Install Rust:
497
498 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
499
500 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
501
502 rustup default stable
503 rustup update
504
505 Install the Python extension from git source by doing the following:
506
507 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
508 cd pia
509 ./libre-soc-install.sh
510
511 ## Chips4Makers JTAG
512
513 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
514 interface, instead require a full complete independent implementation
515 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
516 superb and well-written. The Libre-SOC version includes DMI (Debug
517 Memory Interface):
518
519 git clone https://git.libre-soc.org/git/c4m-jtag.git/
520 cd c4m-jtag
521 python3 setup.py develop
522
523 Included is an IDCODE tap point, Wishbone Master (for direct memory read
524 and write, fully independent of the core), IOPad redirection and testing,
525 and general purpose shift register capability for any custom use.
526
527 We added a DMI to JTAG bridge in LibreSOC which is
528 directly connected to the core, to access registers and
529 to be able to start and stop the core and change the PC.
530 In combination with the JTAG Wishbone interface the test
531 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
532 can have a bootloader uploaded directly into onboard
533 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
534 execution begun.
535
536 [Chips4Makers](https://chips4makers.io/) make it possible for makers
537 and hobbyists to make their own open source chips.
538
539 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
540 an industry standard for verifying designs and testing printed circuit
541 boards after manufacture.
542
543 The [Wishbone
544 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
545 source hardware computer bus intended to let the parts of an integrated
546 circuit communicate with each other.
547
548 ## Coriolis2
549 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
550
551 ## Nextpnr
552
553 A portable FPGA place and route tool.
554
555 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
556 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
557 and the Lattice VERSA_ECP5.
558
559 ## Nextpnr-xilinx
560
561 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
562
563 One of the ways to get Arty A7 100t Digilent FPGA board working.
564
565 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
566
567
568 ## Verilator
569
570 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
571
572 Advise use only v4.106 at the moment.
573
574 See [[HDL_workflow/verilator]] page for installation instructions.
575
576 ## GHDL
577
578 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
579
580 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
581
582 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
583
584 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
585
586 See [[HDL_workflow/ghdl]] page for installation instructions.
587
588 ## Icarus Verilog
589
590 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
591
592 See [[HDL_workflow/iverilog]] page for installation instructions.
593
594 ## Cocotb
595
596 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
597
598 See [[HDL_workflow/cocotb]] page for installation instructions.
599
600 ## Symbiflow
601
602 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
603
604 One way to get the Arty A7 100t Digilent FPGA board working.
605
606 See [[HDL_workflow/symbiflow]] for installation instructions
607 and dependencies.
608
609 ## FPGA/Board Boot-Loaders-Programmers
610
611 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
612 OrangeCrab.
613
614 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
615 xc3sprog and ecpprog are going to be used.
616
617 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
618
619 ## ls2 peripheral fabric
620
621 [[HDL_workflow/ls2]]
622
623 # Registering for git repository access<a name="gitolite3_access"></a>
624
625 After going through the onboarding process and having agreed to take
626 responsibility for certain tasks, ask on the mailing list for git
627 repository access, sending in a public key (`id_rsa.pub`). If you do
628 not have one then generate it with `ssh-keygen -t rsa`. You will find it
629 in `~/.ssh`
630
631 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
632 account of being public, is perfectly fine to make... err... public.
633
634 Create a file `~/.ssh/config` with the following lines:
635
636 Host git.libre-soc.org
637 Port 922
638
639 Test that you have access with this command:
640
641 ssh -v -p922 gitolite3@git.libre-soc.org
642
643 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
644 port-scanning, and detection of password failures are used to instantly
645 ban IP addresses.
646
647 Wait for the Project Admin to confirm that the ssh key has been added
648 to the required repositories. Once confirmed, you can clone any of the
649 repos at https://git.libre-soc.org/:
650
651 git clone gitolite3@git.libre-soc.org:REPONAME.git
652
653 Alternatively, the .ssh/config can be skipped and this used:
654
655 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
656
657 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
658 fail2ban is running and, due to repeated persistent port-scanning spammers
659 is set up to instantly ban any unauthorised ssh access for up to two weeks.
660 This keeps log file sizes down on the server (which is resource-constrained).
661 If you are wondering why this is done, it's a *lot* of port-scans.
662
663 Therefore, *only* ssh in to server with the gitolite3 account, *only*
664 on port 922, and *only* once the systems administrator has given you
665 the all-clear that the ssh key has been added.
666
667 # git configuration
668
669 Although there are methods online which describe how (and why) these
670 settings are normally done, honestly it is simpler and easier to open
671 ~/.gitconfig and add them by hand.
672
673 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
674 files they don't become a pain. pull.rebase is something that is greatly
675 preferred for this project because it avoids the mess of "multiple
676 extra merge git tree entries", and branch.autosetuprebase=always will,
677 if you want it, always ensure that a new git checkout is set up with rebase.
678
679 [core]
680 autocrlf = input
681 [push]
682 default = simple
683 [pull]
684 rebase = true
685 [branch]
686 autosetuprebase = always
687
688 # Checking out the HDL repositories
689
690 Before running the following, install the
691 dependencies. This is easiest done with this script
692 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
693
694 **It is critically important to install these in STRICT order, otherwise
695 pip3 interferes and performs unauthorised downloads without informing
696 you of what it is doing**.
697
698 * mkdir ~/src
699 * cd !$
700 * git clone https://gitlab.com/nmigen/nmigen
701 * git clone https://gitlab.com/nmigen/nmigen-boards
702 * git clone https://gitlab.com/nmigen/nmigen-soc
703 * git clone https://gitlab.com/nmigen/nmigen-stdio
704 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
705 * git clone gitolite3@git.libre-soc.org:nmutil.git
706 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
707 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
708 * git clone gitolite3@git.libre-soc.org:soc.git
709
710 In each of these directories, **in the order listed**, track down the
711 `setup.py` file, then, as root (`sudo bash`), run the following:
712
713 * python3 setup.py develop
714
715 The reason for using "develop" mode is that the code may be edited
716 in-place yet still imported "globally". There are variants on this theme
717 for multi-user machine use however it is often just easier to get your
718 own machine these days.
719
720 The reason for the order is because soc depends on ieee754fpu, and
721 ieee754fpu depends on nmutil. If you do not follow the listed order
722 pip3 will go off and download an arbitrary version without your
723 consent.
724
725 If "`python3 setup.py install`" is used it is a pain: edit, then
726 install. edit, then install. It gets extremely tedious, hence why
727 "develop" was created.
728
729 If you prefer you can use this script instead: of course you checked it
730 in advance and accept full responsibility.
731 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
732
733 # Development Rules
734
735 Team communication:
736
737 * new members, add yourself to the [[about_us]] page and create yourself
738 a home page using someone else's page as a template.
739 * communicate on the mailing list or the bugtracker an intent to take
740 responsibility for a particular task.
741 * assign yourself as the bug's owner
742 * *keep in touch* about what you are doing, and why you are doing it.
743 * edit your home page regularly, particularly to track tasks so that
744 they can be paid by NLNet.
745 * if you cannot do something that you have taken responsibility for,
746 then unless it is a dire personal emergency please say so, on-list. we
747 won't mind. we'll help sort it out.
748
749 Regarding the above it is important that you read, understand, and agree
750 to the [[charter]] because the charter is about ensuring that we operate
751 as an effective organisation. It's *not* about "setting rules and meting
752 out punishment".
753
754 ## Coding
755
756 for actual code development
757
758 ### Plan unit tests
759
760 * plan in advance to write not just code but a full test suite for
761 that code. **this is not optional**. large python projects that do not
762 have unit tests **FAIL** (see separate section below).
763 * Prioritise writing formal proofs and a single clear unit test that is more
764 like a "worked example".
765 We receive NLNet funds for writing formal proofs, plus they
766 cover corner cases and take far less time to write
767
768 ### Commit tested or zero-dependent code
769
770 * only commit code that has been tested (or is presently unused). other
771 people will be depending on you, so do take care not to screw up.
772 not least because, as it says in the [[charter]] it will be your
773 responsibility to fix. that said, do not feel intimidated: ask for help
774 and advice, and you'll get it straight away.
775
776 ### Commit often
777
778 * commit often. several times a day, and "git push" it. this is
779 collaboration. if something is left even overnight uncommitted and not
780 pushed so that other people can see it, it is a red flag.
781 * if you find
782 yourself thinking "i'll commit it when it's finished" or "i don't want to
783 commit something that people might criticise" *this is not collaboration*,
784 it is making yourself a bottleneck. pair-programming is supposed to help
785 avoid this kind of thing however pair-programming is difficult to organise
786 for remote collaborative libre projects (suggestions welcomed here)
787
788 ### Enable editor auto-detection of file changes by external programs
789
790 This is important. "`git pull`" will merge in changes. If you then
791 arbitrarily save a file without re-loading it, you risk destroying
792 other people's work.
793
794 You can avoid damaging the repositories by following some simple procedures:
795
796 run appropriate unit tests
797 git pull
798 run appropriate unit tests again (checks other people's work)
799 git diff # and actually read and review the output
800 git status # check for any missing files
801 git commit # with appropriate arguments and message
802 git push # always always always do this
803
804 ### Absolutely no auto-generated output
805
806 * **do not commit autogenerated output**. write a shell script and commit
807 that, or add a `Makefile` to run the command that generates the output, but
808 **do not** add the actual output of **any** command to the repository.
809 ever. this is really important. even if it is a human-readable file
810 rather than a binary object file.
811 * it is very common to add PDFs (the result of running `latex2pdf`) or
812 configure.in (the result of running `automake`), they are an absolute
813 nuisance and interfere hugely with git diffs, as well as waste hard
814 disk space *and* network bandwidth. don't do it.
815 * do not add multi-megabyte or multi-gigabyte "test data".
816 use shell scripts and commit that, which automatically downloads the
817 "test data" from a well-known known-good reliable location instead.
818
819 ### Write commands that do tasks and commit those
820
821 * if the command needed to create any given autogenerated output is not
822 currently in the list of known project dependencies, first consult on
823 the list if it is okay to make that command become a hard dependency of
824 the project (hint: java, node.js php and .NET commands may cause delays
825 in response time due to other list participants laughing hysterically),
826 and after a decision is made, document the dependency and how its source
827 code is obtained and built (hence why it has to be discussed carefully)
828 * if you find yourself repeating commands regularly, chances are high
829 that someone else will need to run them, too. clearly this includes
830 yourself, therefore, to make everyone's lives easier including your own,
831 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
832 the repository and document them at the very minimum in the README,
833 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
834 ask on the mailing list for advice.
835
836 ### Keep commits single-purpose
837
838 * edit files making minimal *single purpose* modifications (even if
839 it involves multiple files. Good extreme example: globally changing
840 a function name across an entire codebase is one purpose, one commit,
841 yet hundreds of files. miss out one of those files, requiring multiple
842 commits, and it actually becomes a nuisance).
843
844 ### Run unit tests prior to commits
845
846 * prior to committing make sure that relevant unit tests pass, or that
847 the change is a zero-impact addition (no unit tests fail at the minimum)
848
849 ### Do not break existing code
850
851 * keep working code working **at all times**. find ways to ensure that
852 this is the case. examples include writing alternative classes that
853 replace existing functionality and adding runtime options to select
854 between old and new code.
855
856 ### Small commits with relevant commit message
857
858 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
859 (no "added this" or "changed that").
860 * if as you write you find that the commit message involves a *list* of
861 changes or the word "and", then STOP. do not proceed: it is a "red flag"
862 that the commit has not been properly broken down into separate-purpose
863 commits. ask for advice on-list on how to proceed.
864
865 ### Exceptions to small commit: atomic single purpose commit
866
867 * if it is essential to commit large amounts of code, ensure that it
868 is **not** in use **anywhere** by any other code. then make a *small*
869 (single purpose) followup commit which actually puts that code into use.
870
871 This last rule is kinda flexible, because if you add the code *and* add
872 the unit test *and* added it into the main code *and* ran all relevant
873 unit tests on all cascade-impacted areas by that change, that's perfectly
874 fine too. however if it is the end of a day, and you need to stop and
875 do not have time to run the necessary unit tests, do *not* commit the
876 change which integrates untested code: just commit the new code (only)
877 and follow up the next day *after* running the full relevant unit tests.
878
879 ### Why such strict rules?
880
881 The reason for all the above is because python is a dynamically typed
882 language. make one tiny change at the base level of the class hierarchy
883 and the effect may be disastrous.
884
885 It is therefore worth reiterating: make absolutely certain that you *only*
886 commit working code or zero-impact code.
887
888 Therefore, if you are absolutely certain that a new addition (new file,
889 new class, new function) is not going to have any side-effects, committing
890 it (a large amount of code) is perfectly fine.
891
892 As a general rule, however, do not use this an an excuse to write code
893 first then write unit tests as an afterthought. write *less* code *in
894 conjunction* with its (more basic) unit tests, instead. then, folliw up with
895 additions and improvements.
896
897 The reason for separating out commits to single purpose only becomes
898 obvious (and regretted if not followed) when, months later, a mistake
899 has to be tracked down and reverted. if the commit does not have an
900 easy-to-find message, it cannot even be located, and once found, if the
901 commit confuses several unrelated changes, not only the diff is larger
902 than it should be, the reversion process becomes extremely painful.
903
904 ### PHP-style python format-strings
905
906 As the name suggests, "PHP-style" is not given as a compliment.
907 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
908 to read. The lesson from PHP, Zope and Plone: when code is embedded,
909 the purpose of the formatting - the separation of the format from
910 the data to be placed in it - is merged, and consequently become
911 unreadable.
912
913 By contrast, let us imagine a situation where 12 variables need to
914 be inserted into a string, four of which are the same variablename:
915
916 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
917 var3, var4, var2,
918 var1, var9, var1,
919 var3, var4, var1)
920
921 This is just as unreadable, but for different reasons. Here it *is*
922 useful to do this as:
923
924 x = f"{var1} {var2} {var3}" \
925 ...
926 f"{var3} {var4} {var1}"
927
928 As a general rule, though, format-specifiers should be strongly
929 avoided, given that they mix even variable-names directly inside
930 a string.
931
932 This additionally gives text editors (and online web syntax
933 highlighters) the opportunity to colour syntax-highlight the
934 ASCII string (the format) from the variables to be inserted *into*
935 that format. gitweb for example (used by this project) cannot
936 highlight string-formatted code.
937
938 It turns out that colour is processed by the **opposite** hemisphere
939 of the brain from written language. Thus, colour-syntax-highlighting
940 is not just a "nice-to-have", it's **vital** for easier and faster
941 identification of context and an aid to rapid understanding.
942
943 Anything that interferes with that - such as python format-strings -
944 has to take a back seat, regardless of its perceived benefits.
945
946 **If you absolutely must** use python-format-strings, **only** do
947 so by restricting to variables. Create temporary variables if you
948 have to.
949
950 y = '/'.join(a_list)
951 x = f"{y}"
952
953 ### PEP8 format
954
955 * all code needs to conform to pep8. use either pep8checker or better
956 run autopep8. however whenever committing whitespace changes, *make a
957 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
958 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
959 you think you need greater than 80 chars, it *fundamentally* indicates
960 poor code design. split the code down further into smaller classes
961 and functions.
962
963 ### Docstring checker
964
965 * TBD there is a docstring checker. at the minimum make sure to have
966 an SPD license header, module header docstring, class docstring and
967 function docstrings on at least non-obvious functions.
968
969 ### Clear code commenting and docstrings
970
971 * make liberal but not excessive use of comments. describe a group of
972 lines of code, with terse but useful comments describing the purpose,
973 documenting any side-effects, and anything that could trip you or other
974 developers up. unusual coding techniques should *definitely* contain
975 a warning.
976
977 ### Only one class per module (ish)
978
979 * unless they are very closely related, only have one module (one class)
980 per file. a file only 25 lines long including imports and docstrings
981 is perfectly fine however don't force yourself. again, if unsure,
982 ask on-list.
983
984 ### File and Directory hierarchy
985
986 * *keep files short and simple*. see below as to why
987 * create a decent directory hierarchy but do not go mad. ask for advice
988 if unsure
989
990 ### No import star!
991
992 * please do not use "from module import \*". it is extremely bad practice,
993 causes unnecessary resource utilisation, makes code readability and
994 tracking extremely difficult, and results in unintended side-effects.
995
996 Example: often you want to find the code from which a class was imported.
997 nirmally you go to the top of the file, check the imports, and you know
998 exactly which file has the class because of the import path. by using
999 wildcards, you have absolutely *no clue* which wildcard imported which
1000 class or classes.
1001
1002 Example: sometimes you may accidentally have duplicate code maintained
1003 in two or more places. editing one of them you find, puzzlingly, that
1004 the code behaves in some files with the old behaviour, but in others it
1005 works. after a massive amount of investigation, you find that the working
1006 files happen to have a wildcard import of the newer accidental duplicate
1007 class **after** the wildcard import of the older class with exactly the
1008 same name. if you had used explicit imports, you would have spotted
1009 the double import of the class from two separate locations, immediately.
1010
1011 Really. don't. use. wildcards.
1012
1013 More about this here:
1014
1015 * <https://www.asmeurer.com/removestar/>
1016 * <https://rules.sonarsource.com/python/RSPEC-2208>
1017
1018 ### Keep file and variables short but clear
1019
1020 * try to keep both filenames and variable names short but not ridiculously
1021 obtuse. an interesting compromise on imports is "from ridiculousfilename
1022 import longsillyname as lsn", and to assign variables as well: "comb =
1023 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1024 that can reduce code indentation by 6 characters without reducing clarity.
1025
1026 Additionally, use comments just above an obtuse variable in order to
1027 help explain what it is for. In combination with keeping the the module
1028 itself short, other readers will not need to scroll back several pages
1029 in order to understand the code.
1030
1031 Yes it is tempting to actually use the variables as
1032 self-explanatory-comments and generally this can be extremely good
1033 practice. the problem comes when the variable is so long that a function
1034 with several parameters csn no longer fit on a single line, and takes
1035 up five to ten lines rather than one or two. at that point, the length
1036 of the code is adversely affected and thus so is readability by forcing
1037 readers to scroll through reams of pages.
1038
1039 It is a tricky balance: basically use your common sense, or just ask
1040 someone else, "can you understand this code?"
1041
1042 ### Reasons for code structure
1043
1044 Regarding code structure: we decided to go with small modules that are
1045 both easy to analyse, as well as fit onto a single page and be readable
1046 when displayed as a visual graph on a full UHD monitor. this is done
1047 as follows:
1048
1049 * using the capability of nmigen (TODO crossref to example) output the
1050 module to a yosys ilang (.il) file
1051 * in a separate terminal window, run yosys
1052 * at the yosys prompt type "read_ilang modulename.il"
1053 * type "show top" and a graphviz window should appear. note that typing
1054 show, then space, then pressing the tab key twice will give a full list
1055 of submodules (one of which will be "top")
1056
1057 You can now fullsize the graphviz window and scroll around. if it looks
1058 reasonably obvious at 100% zoom, i.e the connections can be clearly
1059 related in your mind back to the actual code (by matching the graph names
1060 against signals and modules in the original nmigen code) and the words are
1061 not tiny when zoomed out, and connections are not total incomprehensible
1062 spaghetti, then congratulations, you have well-designed code. If not,
1063 then this indicates a need to split the code further into submodules
1064 and do a bit more work.
1065
1066 The reasons for doing a proper modularisation job are several-fold:
1067
1068 * firstly, we will not be doing a full automated layout-and-hope
1069 using alliance/coriolis2, we will be doing leaf-node thru tree node
1070 half-automated half-manual layout, finally getting to the floorplan,
1071 then revising and iteratively adjusting.
1072 * secondly, examining modules at the gate level (or close to it) is just
1073 good practice. poor design creeps in by *not* knowing what the tools
1074 are actually doing (word to experienced developers: yes, we know that
1075 the yosys graph != final netlist).
1076 * thirdly, unit testing, particularly formal proofs, is far easier on
1077 small sections of code, and complete in a reasonable time.
1078
1079 ## Special warning / alert to vim users!
1080
1081 Some time around the beginning of 2019 some bright spark decided that
1082 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1083 idea to enable by default from that point onwards.
1084
1085 This incredibly annoying "feature" results in tabs (or spaces) being
1086 inserted "on your behalf" when you press return on one line, for your
1087 "convenience" of not needing to type lots of spaces/tabs just to get
1088 to the same indentation level.
1089
1090 Of course, this "feature", if you press return on one line in edit
1091 mode and then press "escape", leaves a bundle-of-joy extraneous
1092 whitespace **exactly** where you don't want it, and didn't ask for it,
1093 pooped all over your file.
1094
1095 Therefore, *please*: **before** running "git commit", get into the
1096 habit of always running "git diff", and at the very minimum
1097 speed-skim the entire diff, looking for tell-tale "red squares"
1098 (these show up under bash diff colour-syntax-highlighting) that
1099 inform you that, without your knowledge or consent, vim has
1100 "helpfully" inserted extraneous whitespace.
1101
1102 Remove them **before** git committing because they are not part
1103 of the actual desired code-modifications, and committing them
1104 is a major and constant distraction for reviewers about actual
1105 important things like "the code that actually *usefully* was
1106 modified for that commit"
1107
1108 This has the useful side-effect of ensuring that, right before
1109 the commit, you've got the actual diff right in front of you
1110 in the xterm window, on which you can base the "commit message".
1111
1112 ## Unit tests
1113
1114 For further reading, see the wikipedia page on
1115 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1116
1117 This deserves its own special section. It is extremely important to
1118 appreciate that without unit tests, python projects are simply unviable.
1119 Python itself has over 25,000 individual tests.
1120
1121 This can be quite overwhelming to a beginner developer, especially one
1122 used to writing scripts of only 100 lines in length.
1123
1124 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1125 proof is not only shorter, it's also far more readable and also, if
1126 written properly, provides 100% coverage of corner-cases that would
1127 otherwise be overlooked or require tens to hundreds of thousands of
1128 tests to be run.
1129
1130 No this is not a joke or even remotely hypothetical, this is an actual
1131 real-world problem.
1132
1133 The ieee754fpu requires several hundreds of thousands of tests to be
1134 run (currently needing several days to run them all), and even then we
1135 cannot be absolutely certain that all possible combinations of input have
1136 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1137 it is simply impossible to even try.
1138
1139 This is where formal proofs come into play.
1140
1141 Samuel illustrated to us that "ordinary" unit tests can then be written
1142 to *augment* the formal ones, serving the purpose of illustrating how
1143 to use the module, more than anything.
1144
1145 However it is appreciated that writing formal proofs is a bit of a
1146 black art. This is where team collaboration particularly kicks in,
1147 so if you need help, ask on the mailing list.
1148
1149 ## Don't comment out unit tests: add them first (as failures) and fix code later
1150
1151 Unit tests serve an additional critical purpose of keeping track of code
1152 that needs to be written. In many cases, you write the unit test *first*,
1153 despite knowing full well that the code doesn't even exist or is completely
1154 broken. The unit test then serves as a constant and important reminder
1155 to actually fix (or write) the code.
1156
1157 Therefore, *do not* comment out unit tests just because they "don't work".
1158 If you absolutely must stop a unit test from running, **do not delete it**.
1159 Simply mark it with an appropriate
1160 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1161 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1162 with further details as to why the unit test should not be run.
1163
1164 # Task management guidelines
1165
1166 1. Create the task in appropriate "Product" section with appropriate
1167 "Component" section. Most code tasks generally use "Libre-SOC's
1168 first SOC".
1169 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1170 Also add as many related ("See Also") links to other bugreports
1171 as possible. bugreports are never isolated.
1172 3. Choose the correct task for a budget allocation. Usually the parent
1173 task is used.
1174 4. Choose the correct NLnet milestone. The best practice is to check
1175 the parent task for a correct milestone.
1176 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1177 corresponds to your username and "SUM" corresponds to the actual
1178 budget in EUR. There may be multiple users.
1179 6. When the task is completed, you can begin writing an RFP.
1180 **DO NOT submit it without explicit authorisation and review**.
1181 Leave out your bank and personal address details if you prefer
1182 when sending to the Team Manager for review.
1183 7. Once the RFP is written, notify the Team Manager and obtain their
1184 explicit approval to send it.
1185 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1186 field to include the submitted date:
1187 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1188 `YYYY-MM-DD` form.
1189 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1190 and update `"USER={amount=SUM, submitted=SDATE}"`
1191 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1192 entered in `YYYY-MM-DD` form, too.
1193
1194 Throughout all of this you should be using budget-sync to check the
1195 database consistency
1196 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1197
1198 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1199
1200 # TODO Tutorials
1201
1202 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1203
1204 * Robert Baruch's nmigen tutorials look really good:
1205 <https://github.com/RobertBaruch/nmigen-tutorial>
1206 * Although a verilog example this is very useful to do
1207 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1208 * This tutorial looks pretty good and will get you started
1209 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1210 and walks not just through simulation, it takes you through using
1211 gtkwave as well.
1212 * There exist several nmigen examples which are also executable
1213 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1214 described in the above tutorial (python3 filename.py -h)
1215 * More nmigen tutorials at [[learning_nmigen]]