Add Tercel PHY reset synchronization
[microwatt.git] / constraints / arctic-tern.lpf
1 LOCATE COMP "ext_clk" SITE "B6";
2 IOBUF PORT "ext_clk" IO_TYPE=LVCMOS33;
3
4 # GPIO5
5 LOCATE COMP "ext_rst_n" SITE "T3";
6 IOBUF PORT "ext_rst_n" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4;
7
8 LOCATE COMP "uart0_txd" SITE "A7";
9 LOCATE COMP "uart0_rxd" SITE "B8";
10
11 IOBUF PORT "uart0_txd" IO_TYPE=LVCMOS33;
12 IOBUF PORT "uart0_rxd" IO_TYPE=LVCMOS33;
13
14 LOCATE COMP "ddram_a[0]" SITE "J1";
15 LOCATE COMP "ddram_a[1]" SITE "K1";
16 LOCATE COMP "ddram_a[2]" SITE "G2";
17 LOCATE COMP "ddram_a[3]" SITE "H2";
18 LOCATE COMP "ddram_a[4]" SITE "F1";
19 LOCATE COMP "ddram_a[5]" SITE "G1";
20 LOCATE COMP "ddram_a[6]" SITE "J4";
21 LOCATE COMP "ddram_a[7]" SITE "J3";
22 LOCATE COMP "ddram_a[8]" SITE "J5";
23 LOCATE COMP "ddram_a[9]" SITE "K3";
24 LOCATE COMP "ddram_a[10]" SITE "K2";
25 LOCATE COMP "ddram_a[11]" SITE "H1";
26 LOCATE COMP "ddram_a[12]" SITE "M5";
27 LOCATE COMP "ddram_a[13]" SITE "K4";
28 LOCATE COMP "ddram_a[14]" SITE "L4";
29 IOBUF PORT "ddram_a[0]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
30 IOBUF PORT "ddram_a[1]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
31 IOBUF PORT "ddram_a[2]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
32 IOBUF PORT "ddram_a[3]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
33 IOBUF PORT "ddram_a[4]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
34 IOBUF PORT "ddram_a[5]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
35 IOBUF PORT "ddram_a[6]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
36 IOBUF PORT "ddram_a[7]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
37 IOBUF PORT "ddram_a[8]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
38 IOBUF PORT "ddram_a[9]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
39 IOBUF PORT "ddram_a[10]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
40 IOBUF PORT "ddram_a[11]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
41 IOBUF PORT "ddram_a[12]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
42 IOBUF PORT "ddram_a[13]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
43 IOBUF PORT "ddram_a[14]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
44
45 LOCATE COMP "ddram_ba[0]" SITE "K5";
46 LOCATE COMP "ddram_ba[1]" SITE "L5";
47 LOCATE COMP "ddram_ba[2]" SITE "M1";
48 LOCATE COMP "ddram_cas_n" SITE "N2";
49 LOCATE COMP "ddram_cs_n" SITE "P5";
50 LOCATE COMP "ddram_dm[0]" SITE "R20";
51 LOCATE COMP "ddram_dm[1]" SITE "N18";
52 LOCATE COMP "ddram_dm[2]" SITE "F20";
53 LOCATE COMP "ddram_dm[3]" SITE "E18";
54 LOCATE COMP "ddram_ras_n" SITE "L2";
55 LOCATE COMP "ddram_we_n" SITE "N1";
56 IOBUF PORT "ddram_ba[0]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
57 IOBUF PORT "ddram_ba[1]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
58 IOBUF PORT "ddram_ba[2]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
59 IOBUF PORT "ddram_cas_n" IO_TYPE=SSTL135_I SLEWRATE=FAST;
60 IOBUF PORT "ddram_cs_n" IO_TYPE=SSTL135_I SLEWRATE=FAST;
61 IOBUF PORT "ddram_dm[0]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
62 IOBUF PORT "ddram_dm[1]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
63 IOBUF PORT "ddram_dm[2]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
64 IOBUF PORT "ddram_dm[3]" IO_TYPE=SSTL135_I SLEWRATE=FAST;
65 IOBUF PORT "ddram_ras_n" IO_TYPE=SSTL135_I SLEWRATE=FAST;
66 IOBUF PORT "ddram_we_n" IO_TYPE=SSTL135_I SLEWRATE=FAST;
67
68 // from litex platform, termination disabled to reduce heat
69 LOCATE COMP "ddram_dq[0]" SITE "T20";
70 LOCATE COMP "ddram_dq[1]" SITE "U17";
71 LOCATE COMP "ddram_dq[2]" SITE "T18";
72 LOCATE COMP "ddram_dq[3]" SITE "U16";
73 LOCATE COMP "ddram_dq[4]" SITE "U19";
74 LOCATE COMP "ddram_dq[5]" SITE "T17";
75 LOCATE COMP "ddram_dq[6]" SITE "U20";
76 LOCATE COMP "ddram_dq[7]" SITE "U18";
77 LOCATE COMP "ddram_dq[8]" SITE "L19";
78 LOCATE COMP "ddram_dq[9]" SITE "M18";
79 LOCATE COMP "ddram_dq[10]" SITE "L17";
80 LOCATE COMP "ddram_dq[11]" SITE "L16";
81 LOCATE COMP "ddram_dq[12]" SITE "L20";
82 LOCATE COMP "ddram_dq[13]" SITE "M19";
83 LOCATE COMP "ddram_dq[14]" SITE "L18";
84 LOCATE COMP "ddram_dq[15]" SITE "M20";
85 LOCATE COMP "ddram_dq[16]" SITE "J20";
86 LOCATE COMP "ddram_dq[17]" SITE "K18";
87 LOCATE COMP "ddram_dq[18]" SITE "F19";
88 LOCATE COMP "ddram_dq[19]" SITE "K19";
89 LOCATE COMP "ddram_dq[20]" SITE "J19";
90 LOCATE COMP "ddram_dq[21]" SITE "J18";
91 LOCATE COMP "ddram_dq[22]" SITE "G20";
92 LOCATE COMP "ddram_dq[23]" SITE "K20";
93 LOCATE COMP "ddram_dq[24]" SITE "G16";
94 LOCATE COMP "ddram_dq[25]" SITE "H18";
95 LOCATE COMP "ddram_dq[26]" SITE "H16";
96 LOCATE COMP "ddram_dq[27]" SITE "F18";
97 LOCATE COMP "ddram_dq[28]" SITE "J16";
98 LOCATE COMP "ddram_dq[29]" SITE "E17";
99 LOCATE COMP "ddram_dq[30]" SITE "J17";
100 LOCATE COMP "ddram_dq[31]" SITE "H17";
101 IOBUF PORT "ddram_dq[0]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
102 IOBUF PORT "ddram_dq[1]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
103 IOBUF PORT "ddram_dq[2]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
104 IOBUF PORT "ddram_dq[3]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
105 IOBUF PORT "ddram_dq[4]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
106 IOBUF PORT "ddram_dq[5]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
107 IOBUF PORT "ddram_dq[6]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
108 IOBUF PORT "ddram_dq[7]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
109 IOBUF PORT "ddram_dq[8]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
110 IOBUF PORT "ddram_dq[9]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
111 IOBUF PORT "ddram_dq[10]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
112 IOBUF PORT "ddram_dq[11]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
113 IOBUF PORT "ddram_dq[12]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
114 IOBUF PORT "ddram_dq[13]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
115 IOBUF PORT "ddram_dq[14]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
116 IOBUF PORT "ddram_dq[15]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
117 IOBUF PORT "ddram_dq[16]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
118 IOBUF PORT "ddram_dq[17]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
119 IOBUF PORT "ddram_dq[18]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
120 IOBUF PORT "ddram_dq[19]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
121 IOBUF PORT "ddram_dq[20]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
122 IOBUF PORT "ddram_dq[21]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
123 IOBUF PORT "ddram_dq[22]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
124 IOBUF PORT "ddram_dq[23]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
125 IOBUF PORT "ddram_dq[24]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
126 IOBUF PORT "ddram_dq[25]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
127 IOBUF PORT "ddram_dq[26]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
128 IOBUF PORT "ddram_dq[27]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
129 IOBUF PORT "ddram_dq[28]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
130 IOBUF PORT "ddram_dq[29]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
131 IOBUF PORT "ddram_dq[30]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
132 IOBUF PORT "ddram_dq[31]" IO_TYPE=SSTL135_I SLEWRATE=FAST TERMINATION=OFF;
133
134 LOCATE COMP "ddram_dqs_n[0]" SITE "R18";
135 LOCATE COMP "ddram_dqs_n[1]" SITE "M17";
136 LOCATE COMP "ddram_dqs_n[2]" SITE "H20";
137 LOCATE COMP "ddram_dqs_n[3]" SITE "G18";
138 LOCATE COMP "ddram_dqs_p[0]" SITE "T19";
139 LOCATE COMP "ddram_dqs_p[1]" SITE "N16";
140 LOCATE COMP "ddram_dqs_p[2]" SITE "G19";
141 LOCATE COMP "ddram_dqs_p[3]" SITE "F17";
142 IOBUF PORT "ddram_dqs_n[0]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
143 IOBUF PORT "ddram_dqs_n[1]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
144 IOBUF PORT "ddram_dqs_n[2]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
145 IOBUF PORT "ddram_dqs_n[3]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
146 IOBUF PORT "ddram_dqs_p[0]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
147 IOBUF PORT "ddram_dqs_p[1]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
148 IOBUF PORT "ddram_dqs_p[2]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
149 IOBUF PORT "ddram_dqs_p[3]" IO_TYPE=SSTL135D_I SLEWRATE=FAST DIFFRESISTOR=100 TERMINATION=OFF;
150
151 LOCATE COMP "ddram_clk_p[0]" SITE "P19";
152 LOCATE COMP "ddram_clk_p[1]" SITE "E16";
153 LOCATE COMP "ddram_clk_n[0]" SITE "P18";
154 LOCATE COMP "ddram_clk_n[1]" SITE "F16";
155 IOBUF PORT "ddram_clk_p[0]" IO_TYPE=SSTL135D_I SLEWRATE=FAST;
156 IOBUF PORT "ddram_clk_p[1]" IO_TYPE=SSTL135D_I SLEWRATE=FAST;
157 IOBUF PORT "ddram_clk_n[0]" IO_TYPE=SSTL135D_I SLEWRATE=FAST;
158 IOBUF PORT "ddram_clk_n[1]" IO_TYPE=SSTL135D_I SLEWRATE=FAST;
159
160 LOCATE COMP "ddram_cke" SITE "N5";
161 LOCATE COMP "ddram_odt" SITE "M3";
162 LOCATE COMP "ddram_reset_n" SITE "L1";
163 IOBUF PORT "ddram_cke" IO_TYPE=SSTL135_I SLEWRATE=FAST;
164 IOBUF PORT "ddram_odt" IO_TYPE=SSTL135_I SLEWRATE=FAST;
165 IOBUF PORT "ddram_reset_n" IO_TYPE=SSTL135_I SLEWRATE=FAST;
166
167 LOCATE COMP "eth_clocks_tx" SITE "C11";
168 LOCATE COMP "eth_clocks_rx" SITE "A9";
169 LOCATE COMP "eth_mdio" SITE "D9";
170 LOCATE COMP "eth_mdc" SITE "E6";
171 LOCATE COMP "eth_rx_ctl" SITE "A8";
172 LOCATE COMP "eth_rx_data[0]" SITE "E9";
173 LOCATE COMP "eth_rx_data[1]" SITE "C9";
174 LOCATE COMP "eth_rx_data[2]" SITE "D10";
175 LOCATE COMP "eth_rx_data[3]" SITE "E10";
176 LOCATE COMP "eth_tx_ctl" SITE "C10";
177 LOCATE COMP "eth_tx_data[0]" SITE "B10";
178 LOCATE COMP "eth_tx_data[1]" SITE "A10";
179 LOCATE COMP "eth_tx_data[2]" SITE "B11";
180 LOCATE COMP "eth_tx_data[3]" SITE "A11";
181 IOBUF PORT "eth_clocks_tx" IO_TYPE=LVCMOS33;
182 IOBUF PORT "eth_clocks_rx" IO_TYPE=LVCMOS33;
183 IOBUF PORT "eth_mdio" IO_TYPE=LVCMOS33;
184 IOBUF PORT "eth_mdc" IO_TYPE=LVCMOS33;
185 IOBUF PORT "eth_rx_ctl" IO_TYPE=LVCMOS33;
186 IOBUF PORT "eth_rx_data[0]" IO_TYPE=LVCMOS33;
187 IOBUF PORT "eth_rx_data[1]" IO_TYPE=LVCMOS33;
188 IOBUF PORT "eth_rx_data[2]" IO_TYPE=LVCMOS33;
189 IOBUF PORT "eth_rx_data[3]" IO_TYPE=LVCMOS33;
190 IOBUF PORT "eth_tx_ctl" IO_TYPE=LVCMOS33;
191 IOBUF PORT "eth_tx_data[0]" IO_TYPE=LVCMOS33;
192 IOBUF PORT "eth_tx_data[1]" IO_TYPE=LVCMOS33;
193 IOBUF PORT "eth_tx_data[2]" IO_TYPE=LVCMOS33;
194 IOBUF PORT "eth_tx_data[3]" IO_TYPE=LVCMOS33;
195
196 # Bitstream Flash device (module)
197 LOCATE COMP "spi_flash_sck" SITE "W1";
198 LOCATE COMP "spi_flash_cs_n" SITE "R2";
199 LOCATE COMP "spi_flash_mosi" SITE "W2";
200 LOCATE COMP "spi_flash_miso" SITE "V2";
201 LOCATE COMP "spi_flash_wp_n" SITE "Y2";
202 LOCATE COMP "spi_flash_hold_n" SITE "W1";
203 IOBUF PORT "spi_flash_sck" IO_TYPE=LVCMOS33;
204 IOBUF PORT "spi_flash_cs_n" IO_TYPE=LVCMOS33;
205 IOBUF PORT "spi_flash_mosi" IO_TYPE=LVCMOS33;
206 IOBUF PORT "spi_flash_miso" IO_TYPE=LVCMOS33;
207 IOBUF PORT "spi_flash_wp_n" IO_TYPE=LVCMOS33;
208 IOBUF PORT "spi_flash_hold_n" IO_TYPE=LVCMOS33;
209
210 # LPC slave
211 LOCATE COMP "lpc_slave_data[0]" SITE "C4";
212 LOCATE COMP "lpc_slave_data[1]" SITE "A3";
213 LOCATE COMP "lpc_slave_data[2]" SITE "B4";
214 LOCATE COMP "lpc_slave_data[3]" SITE "B3";
215 LOCATE COMP "lpc_slave_serirq" SITE "F4";
216 LOCATE COMP "lpc_slave_frame_n" SITE "D3";
217 LOCATE COMP "lpc_slave_reset_n" SITE "C3";
218 LOCATE COMP "lpc_slave_clock" SITE "H5";
219 IOBUF PORT "lpc_slave_data[0]" IO_TYPE=LVCMOS33;
220 IOBUF PORT "lpc_slave_data[1]" IO_TYPE=LVCMOS33;
221 IOBUF PORT "lpc_slave_data[2]" IO_TYPE=LVCMOS33;
222 IOBUF PORT "lpc_slave_data[3]" IO_TYPE=LVCMOS33;
223 IOBUF PORT "lpc_slave_irq" IO_TYPE=LVCMOS33;
224 IOBUF PORT "lpc_slave_frame_n" IO_TYPE=LVCMOS33;
225 IOBUF PORT "lpc_slave_reset_n" IO_TYPE=LVCMOS33;
226 IOBUF PORT "lpc_slave_clock" IO_TYPE=LVCMOS33;
227
228 LOCATE COMP "dvo_r[0]" SITE "C14";
229 LOCATE COMP "dvo_r[1]" SITE "E14";
230 LOCATE COMP "dvo_r[2]" SITE "D14";
231 LOCATE COMP "dvo_r[3]" SITE "E13";
232 LOCATE COMP "dvo_r[4]" SITE "D13";
233 LOCATE COMP "dvo_r[5]" SITE "C13";
234 LOCATE COMP "dvo_r[6]" SITE "E11";
235 LOCATE COMP "dvo_r[7]" SITE "C12";
236 LOCATE COMP "dvo_g[0]" SITE "B19";
237 LOCATE COMP "dvo_g[1]" SITE "B20";
238 LOCATE COMP "dvo_g[2]" SITE "C17";
239 LOCATE COMP "dvo_g[3]" SITE "C16";
240 LOCATE COMP "dvo_g[4]" SITE "C15";
241 LOCATE COMP "dvo_g[5]" SITE "D16";
242 LOCATE COMP "dvo_g[6]" SITE "D15";
243 LOCATE COMP "dvo_g[7]" SITE "E15";
244 LOCATE COMP "dvo_b[0]" SITE "A14";
245 LOCATE COMP "dvo_b[1]" SITE "A15";
246 LOCATE COMP "dvo_b[2]" SITE "B15";
247 LOCATE COMP "dvo_b[3]" SITE "A16";
248 LOCATE COMP "dvo_b[4]" SITE "B16";
249 LOCATE COMP "dvo_b[5]" SITE "A17";
250 LOCATE COMP "dvo_b[6]" SITE "A19";
251 LOCATE COMP "dvo_b[7]" SITE "B17";
252 LOCATE COMP "dvo_de" SITE "A13";
253 LOCATE COMP "dvo_hsync_n" SITE "B13";
254 LOCATE COMP "dvo_vsync_n" SITE "B12";
255 LOCATE COMP "dvo_clk" SITE "D11";
256 IOBUF PORT "dvo_r[0]" IO_TYPE=LVCMOS33;
257 IOBUF PORT "dvo_r[1]" IO_TYPE=LVCMOS33;
258 IOBUF PORT "dvo_r[2]" IO_TYPE=LVCMOS33;
259 IOBUF PORT "dvo_r[3]" IO_TYPE=LVCMOS33;
260 IOBUF PORT "dvo_r[4]" IO_TYPE=LVCMOS33;
261 IOBUF PORT "dvo_r[5]" IO_TYPE=LVCMOS33;
262 IOBUF PORT "dvo_r[6]" IO_TYPE=LVCMOS33;
263 IOBUF PORT "dvo_r[7]" IO_TYPE=LVCMOS33;
264 IOBUF PORT "dvo_g[0]" IO_TYPE=LVCMOS33;
265 IOBUF PORT "dvo_g[1]" IO_TYPE=LVCMOS33;
266 IOBUF PORT "dvo_g[2]" IO_TYPE=LVCMOS33;
267 IOBUF PORT "dvo_g[3]" IO_TYPE=LVCMOS33;
268 IOBUF PORT "dvo_g[4]" IO_TYPE=LVCMOS33;
269 IOBUF PORT "dvo_g[5]" IO_TYPE=LVCMOS33;
270 IOBUF PORT "dvo_g[6]" IO_TYPE=LVCMOS33;
271 IOBUF PORT "dvo_g[7]" IO_TYPE=LVCMOS33;
272 IOBUF PORT "dvo_b[0]" IO_TYPE=LVCMOS33;
273 IOBUF PORT "dvo_b[1]" IO_TYPE=LVCMOS33;
274 IOBUF PORT "dvo_b[2]" IO_TYPE=LVCMOS33;
275 IOBUF PORT "dvo_b[3]" IO_TYPE=LVCMOS33;
276 IOBUF PORT "dvo_b[4]" IO_TYPE=LVCMOS33;
277 IOBUF PORT "dvo_b[5]" IO_TYPE=LVCMOS33;
278 IOBUF PORT "dvo_b[6]" IO_TYPE=LVCMOS33;
279 IOBUF PORT "dvo_b[7]" IO_TYPE=LVCMOS33;
280 IOBUF PORT "dvo_de" IO_TYPE=LVCMOS33;
281 IOBUF PORT "dvo_hsync_n" IO_TYPE=LVCMOS33;
282 IOBUF PORT "dvo_vsync_n" IO_TYPE=LVCMOS33;
283 IOBUF PORT "dvo_clk" IO_TYPE=LVCMOS33;