core: Do addpcis using the main adder (#189)
[microwatt.git] / decode_types.vhdl
1 library ieee;
2 use ieee.std_logic_1164.all;
3
4 package decode_types is
5 type insn_type_t is (OP_ILLEGAL, OP_NOP, OP_ADD,
6 OP_AND, OP_ATTN, OP_B, OP_BC, OP_BCREG,
7 OP_BPERM, OP_CMP, OP_CMPB, OP_CMPEQB, OP_CMPRB,
8 OP_CNTZ, OP_CROP,
9 OP_DARN, OP_DCBF, OP_DCBST, OP_DCBT, OP_DCBTST,
10 OP_DCBZ, OP_DIV, OP_DIVE, OP_EXTS,
11 OP_EXTSWSLI, OP_ICBI, OP_ICBT, OP_ISEL, OP_ISYNC,
12 OP_LOAD, OP_STORE, OP_MADDHD, OP_MADDHDU, OP_MADDLD,
13 OP_MCRXR, OP_MCRXRX, OP_MFCR, OP_MFMSR, OP_MFSPR, OP_MOD,
14 OP_MTCRF, OP_MTMSRD, OP_MTSPR, OP_MUL_L64,
15 OP_MUL_H64, OP_MUL_H32, OP_OR,
16 OP_POPCNT, OP_PRTY, OP_RFID,
17 OP_RLC, OP_RLCL, OP_RLCR, OP_SC, OP_SETB,
18 OP_SHL, OP_SHR,
19 OP_SYNC, OP_TLBIE, OP_TRAP,
20 OP_XOR,
21 OP_FETCH_FAILED
22 );
23 type input_reg_a_t is (NONE, RA, RA_OR_ZERO, SPR, CIA);
24 type input_reg_b_t is (NONE, RB, CONST_UI, CONST_SI, CONST_SI_HI, CONST_UI_HI, CONST_LI, CONST_BD,
25 CONST_DXHI4, CONST_DS, CONST_M1, CONST_SH, CONST_SH32, SPR);
26 type input_reg_c_t is (NONE, RS);
27 type output_reg_a_t is (NONE, RT, RA, SPR);
28 type rc_t is (NONE, ONE, RC);
29 type carry_in_t is (ZERO, CA, ONE);
30
31 constant SH_OFFSET : integer := 0;
32 constant MB_OFFSET : integer := 1;
33 constant ME_OFFSET : integer := 1;
34 constant SH32_OFFSET : integer := 0;
35 constant MB32_OFFSET : integer := 1;
36 constant ME32_OFFSET : integer := 2;
37
38 constant FXM_OFFSET : integer := 0;
39
40 constant BO_OFFSET : integer := 0;
41 constant BI_OFFSET : integer := 1;
42 constant BH_OFFSET : integer := 2;
43
44 constant BF_OFFSET : integer := 0;
45 constant L_OFFSET : integer := 1;
46
47 constant TOO_OFFSET : integer := 0;
48
49 type unit_t is (NONE, ALU, LDST);
50 type length_t is (NONE, is1B, is2B, is4B, is8B);
51
52 type decode_rom_t is record
53 unit : unit_t;
54 insn_type : insn_type_t;
55 input_reg_a : input_reg_a_t;
56 input_reg_b : input_reg_b_t;
57 input_reg_c : input_reg_c_t;
58 output_reg_a : output_reg_a_t;
59
60 input_cr : std_ulogic;
61 output_cr : std_ulogic;
62
63 invert_a : std_ulogic;
64 invert_out : std_ulogic;
65 input_carry : carry_in_t;
66 output_carry : std_ulogic;
67
68 -- load/store signals
69 length : length_t;
70 byte_reverse : std_ulogic;
71 sign_extend : std_ulogic;
72 update : std_ulogic;
73 reserve : std_ulogic;
74
75 -- multiplier and ALU signals
76 is_32bit : std_ulogic;
77 is_signed : std_ulogic;
78
79 rc : rc_t;
80 lr : std_ulogic;
81
82 sgl_pipe : std_ulogic;
83 end record;
84 constant decode_rom_init : decode_rom_t := (unit => NONE,
85 insn_type => OP_ILLEGAL, input_reg_a => NONE,
86 input_reg_b => NONE, input_reg_c => NONE,
87 output_reg_a => NONE, input_cr => '0', output_cr => '0',
88 invert_a => '0', invert_out => '0', input_carry => ZERO, output_carry => '0',
89 length => NONE, byte_reverse => '0', sign_extend => '0',
90 update => '0', reserve => '0', is_32bit => '0',
91 is_signed => '0', rc => NONE, lr => '0', sgl_pipe => '0');
92
93 end decode_types;
94
95 package body decode_types is
96 end decode_types;