core: Implement BCD Assist instructions addg6s, cdtbcd, cbcdtod
[microwatt.git] / decode_types.vhdl
1 library ieee;
2 use ieee.std_logic_1164.all;
3
4 package decode_types is
5 type insn_type_t is (OP_ILLEGAL, OP_NOP, OP_ADD,
6 OP_AND, OP_ATTN, OP_B, OP_BC, OP_BCREG,
7 OP_BPERM, OP_CMP, OP_CMPB, OP_CMPEQB, OP_CMPRB,
8 OP_CNTZ, OP_CROP,
9 OP_DARN, OP_DCBF, OP_DCBST, OP_DCBT, OP_DCBTST,
10 OP_DCBZ, OP_DIV, OP_DIVE, OP_EXTS,
11 OP_EXTSWSLI, OP_ICBI, OP_ICBT, OP_ISEL, OP_ISYNC,
12 OP_LOAD, OP_STORE,
13 OP_MCRXRX, OP_MFCR, OP_MFMSR, OP_MFSPR, OP_MOD,
14 OP_MTCRF, OP_MTMSRD, OP_MTSPR, OP_MUL_L64,
15 OP_MUL_H64, OP_MUL_H32, OP_OR,
16 OP_POPCNT, OP_PRTY, OP_RFID,
17 OP_RLC, OP_RLCL, OP_RLCR, OP_SC, OP_SETB,
18 OP_SHL, OP_SHR,
19 OP_SYNC, OP_TLBIE, OP_TRAP,
20 OP_XOR,
21 OP_BCD, OP_ADDG6S,
22 OP_FETCH_FAILED
23 );
24 type input_reg_a_t is (NONE, RA, RA_OR_ZERO, SPR, CIA);
25 type input_reg_b_t is (NONE, RB, CONST_UI, CONST_SI, CONST_SI_HI, CONST_UI_HI, CONST_LI, CONST_BD,
26 CONST_DXHI4, CONST_DS, CONST_M1, CONST_SH, CONST_SH32, SPR);
27 type input_reg_c_t is (NONE, RS, RCR);
28 type output_reg_a_t is (NONE, RT, RA, SPR);
29 type rc_t is (NONE, ONE, RC);
30 type carry_in_t is (ZERO, CA, OV, ONE);
31
32 constant SH_OFFSET : integer := 0;
33 constant MB_OFFSET : integer := 1;
34 constant ME_OFFSET : integer := 1;
35 constant SH32_OFFSET : integer := 0;
36 constant MB32_OFFSET : integer := 1;
37 constant ME32_OFFSET : integer := 2;
38
39 constant FXM_OFFSET : integer := 0;
40
41 constant BO_OFFSET : integer := 0;
42 constant BI_OFFSET : integer := 1;
43 constant BH_OFFSET : integer := 2;
44
45 constant BF_OFFSET : integer := 0;
46 constant L_OFFSET : integer := 1;
47
48 constant TOO_OFFSET : integer := 0;
49
50 type unit_t is (NONE, ALU, LDST);
51 type length_t is (NONE, is1B, is2B, is4B, is8B);
52
53 type decode_rom_t is record
54 unit : unit_t;
55 insn_type : insn_type_t;
56 input_reg_a : input_reg_a_t;
57 input_reg_b : input_reg_b_t;
58 input_reg_c : input_reg_c_t;
59 output_reg_a : output_reg_a_t;
60
61 input_cr : std_ulogic;
62 output_cr : std_ulogic;
63
64 invert_a : std_ulogic;
65 invert_out : std_ulogic;
66 input_carry : carry_in_t;
67 output_carry : std_ulogic;
68
69 -- load/store signals
70 length : length_t;
71 byte_reverse : std_ulogic;
72 sign_extend : std_ulogic;
73 update : std_ulogic;
74 reserve : std_ulogic;
75
76 -- multiplier and ALU signals
77 is_32bit : std_ulogic;
78 is_signed : std_ulogic;
79
80 rc : rc_t;
81 lr : std_ulogic;
82
83 sgl_pipe : std_ulogic;
84 end record;
85 constant decode_rom_init : decode_rom_t := (unit => NONE,
86 insn_type => OP_ILLEGAL, input_reg_a => NONE,
87 input_reg_b => NONE, input_reg_c => NONE,
88 output_reg_a => NONE, input_cr => '0', output_cr => '0',
89 invert_a => '0', invert_out => '0', input_carry => ZERO, output_carry => '0',
90 length => NONE, byte_reverse => '0', sign_extend => '0',
91 update => '0', reserve => '0', is_32bit => '0',
92 is_signed => '0', rc => NONE, lr => '0', sgl_pipe => '0');
93
94 end decode_types;
95
96 package body decode_types is
97 end decode_types;