Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / gns_templates / column_and_prech.vhd
1 --
2 -- Template column_and_prech
3 -- Recognition rule
4 --
5 entity column_and_prech is
6 generic ( capacity : integer);
7 port ( q, nq : inout mux_bit bus;
8 com : in bit_vector(capacity-1 downto 0);
9 ck : in bit;
10 vdd, vss : in bit);
11 -- pragma symmetric q nq
12 -- pragma symmetric com
13 end;
14
15 architecture structural of column_and_prech is
16 component column
17 generic ( capacity : integer);
18 port ( q, nq : inout mux_bit bus;
19 com : in bit_vector(capacity-1 downto 0);
20 vdd, vss : in bit);
21 end component;
22
23 component TN
24 port ( gate : in bit;
25 source, drain : inout bit;
26 bulk : in bit);
27 end component;
28
29 begin
30
31 col : column
32 generic map(capacity)
33 port map (q ,nq ,com ,vdd ,vss);
34
35 precharge_t1 : TN port map (ck, nq, vdd, vss);
36 precharge_t2 : TN port map (ck, q, vdd, vss);
37 precharge_t3 : TN port map (ck, q, nq, vss);
38
39 end;