Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / gns_templates / column_and_sel.c
1 /****************************************************************************/
2 /* Template column_and_sel */
3 /* behavioral actions */
4 /* */
5 /****************************************************************************/
6 void column_and_sel()
7 {
8 char * nq = _equiv("nq");
9 char * q = _equiv("q");
10 char * na = _equiv("na");
11 char * a = _equiv("a");
12 char * ck = _equiv("ck");
13 char * sel = _equiv("sel");
14
15 begCreateInterface();
16
17 // pass-transistor
18 begAddMemDriver(nq, sel, na, 0, NULL);
19 begAddMemDriver(q, sel, a, 0, NULL);
20 begAddMemDriver(na, sel, nq, 0, NULL);
21 begAddMemDriver(a, sel, q, 0, NULL);
22
23 // precharge
24 begAddMemDriver(nq, ck, "'1'", 0, NULL);
25 begAddMemDriver(q, ck, "'1'", 0, NULL);
26
27 begAddAllInstanceModels();
28
29 begKeepModel();
30 }