Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / gns_templates / column_xn.c
1 /****************************************************************************/
2 /* Template Column_xn */
3 /* behavioral actions */
4 /* */
5 /****************************************************************************/
6 void column_xn()
7 {
8 begBuildCompactModel();
9 }