Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / blackbox / msdp2_y.lib
1 /************************************************************************/
2 /* */
3 /* Avertec Release v2.9p5 (32 bits on SunOS 5.8) */
4 /* argv: db.tcl */
5 /* */
6 /* User: olivier */
7 /* Generation date Wed Nov 22 11:57:36 2006 */
8 /* */
9 /* liberty data flow `msdp2_y.lib` */
10 /* */
11 /************************************************************************/
12
13
14
15 library (msdp2_y.lib) {
16
17 technology (cmos) ;
18 date : "Wed Nov 22 11:57:36 2006" ;
19 delay_model : table_lookup ;
20 nom_voltage : 1.62 ;
21 nom_temperature : 125.0 ;
22 nom_process : 1.0 ;
23 slew_derate_from_library : 1.0 ;
24 default_fanout_load : 1000.0 ;
25 default_inout_pin_cap : 1000.0 ;
26 default_input_pin_cap : 1000.0 ;
27 default_output_pin_cap : 0.0 ;
28 voltage_unit : "1V" ;
29 time_unit : "1ps" ;
30 capacitive_load_unit (1,ff) ;
31 pulling_resistance_unit : "1ohm" ;
32 current_unit : "1mA" ;
33 input_threshold_pct_rise : 50.0 ;
34 input_threshold_pct_fall : 50.0 ;
35 output_threshold_pct_rise : 50.0 ;
36 output_threshold_pct_fall : 50.0 ;
37 slew_lower_threshold_pct_fall : 20.0 ;
38 slew_upper_threshold_pct_fall : 80.0 ;
39 slew_lower_threshold_pct_rise : 20.0 ;
40 slew_upper_threshold_pct_rise : 80.0 ;
41
42 lu_table_template (inslew_load_5x5__1) {
43 variable_1 : input_net_transition;
44 index_1 ("45.0, 95.0, 200.0, 410.0, 880.0");
45 variable_2 : total_output_net_capacitance;
46 index_2 ("35.00, 73.00, 150.00, 310.00, 680.00");
47 }
48 lu_table_template (load_5__1) {
49 variable_1 : total_output_net_capacitance;
50 index_1 ("35.00, 73.00, 150.00, 310.00, 680.00");
51 }
52 lu_table_template (inslew_load_5x5__0) {
53 variable_1 : input_net_transition;
54 index_1 ("45.0, 95.0, 200.0, 410.0, 880.0");
55 variable_2 : total_output_net_capacitance;
56 index_2 ("20.00, 42.00, 89.00, 180.00, 390.00");
57 }
58 lu_table_template (load_5__0) {
59 variable_1 : total_output_net_capacitance;
60 index_1 ("20.00, 42.00, 89.00, 180.00, 390.00");
61 }
62 lu_table_template (inslew_ckslew_5x5__0) {
63 variable_1 : constrained_pin_transition;
64 index_1 ("45.0, 95.0, 200.0, 410.0, 880.0");
65 variable_2 : related_pin_transition;
66 index_2 ("45.0, 95.0, 200.0, 410.0, 880.0");
67 }
68 lu_table_template (inslew_5__0) {
69 variable_1 : input_net_transition;
70 index_1 ("45.0, 95.0, 200.0, 410.0, 880.0");
71 }
72 lu_table_template (temp_cst) {
73 variable_1 : input_net_transition;
74 variable_2 : total_output_net_capacitance;
75 index_1 ("1.0, 2.0");
76 index_2 ("1.0, 2.0");
77 }
78 lu_table_template (temp_set_hol_cst) {
79 variable_1 : constrained_pin_transition;
80 variable_2 : related_pin_transition;
81 index_1 ("1.0, 2.0");
82 index_2 ("1.0, 2.0");
83 }
84
85
86
87 cell (msdp2_y) {
88 area : 0.0 ;
89 pin (ck) {
90 direction : input ;
91 capacitance : 12.72 ;
92 }
93 pin (di) {
94 direction : input ;
95 capacitance : 26.60 ;
96 timing (di_ck_setup_falling) {
97 timing_type : setup_falling ;
98 related_pin : "ck" ;
99 rise_constraint (inslew_ckslew_5x5__0) {
100 values ("-11.2, -22.4, -40.4, -120.2, -265.0", \
101 "-20.0, -31.2, -49.1, -129.0, -273.8", \
102 "-35.3, -46.5, -64.5, -144.3, -289.1", \
103 "-50.7, -61.9, -79.9, -159.7, -304.5", \
104 "-92.4, -103.6, -121.6, -201.4, -346.2");
105 }
106 fall_constraint (inslew_ckslew_5x5__0) {
107 values ("-58.9, -70.1, -88.0, -167.9, -312.7", \
108 "-67.9, -79.1, -97.0, -176.9, -321.7", \
109 "-85.6, -96.8, -114.8, -194.6, -339.4", \
110 "-48.6, -59.8, -77.7, -157.6, -302.4", \
111 "3.4, -7.8, -25.8, -105.6, -250.4");
112 }
113 }
114 timing (di_ck_hold_falling) {
115 timing_type : hold_falling ;
116 related_pin : "ck" ;
117 rise_constraint (inslew_ckslew_5x5__0) {
118 values ("205.4, 216.6, 234.6, 314.4, 459.2", \
119 "214.2, 225.4, 243.3, 323.2, 468.0", \
120 "229.5, 240.7, 258.7, 338.5, 483.3", \
121 "244.9, 256.1, 274.1, 353.9, 498.7", \
122 "286.6, 297.8, 315.8, 395.6, 540.4");
123 }
124 fall_constraint (inslew_ckslew_5x5__0) {
125 values ("113.6, 124.8, 142.7, 222.6, 367.4", \
126 "122.6, 133.8, 151.7, 231.6, 376.4", \
127 "140.3, 151.5, 169.5, 249.3, 394.1", \
128 "103.3, 114.5, 132.4, 212.3, 357.1", \
129 "51.3, 62.5, 80.5, 160.3, 305.1");
130 }
131 }
132 }
133 pin (t) {
134 direction : output ;
135 timing (maxd_t_ck_falling_edge) {
136 timing_type : falling_edge ;
137 related_pin : "ck" ;
138 cell_fall (inslew_load_5x5__1) {
139 values ("556.6, 600.0, 673.5, 804.6, 1083.2", \
140 "567.8, 611.3, 684.8, 815.9, 1094.4", \
141 "585.7, 629.2, 702.7, 833.8, 1112.3", \
142 "665.6, 709.0, 782.6, 913.7, 1192.2", \
143 "810.4, 853.8, 927.3, 1058.5, 1337.0");
144 }
145 fall_transition (load_5__1) {
146 values ("89.1, 129.2, 205.1, 359.7, 724.8");
147 }
148 cell_rise (inslew_load_5x5__0) {
149 values ("428.5, 466.8, 537.9, 658.0, 913.7", \
150 "439.7, 478.0, 549.1, 669.2, 924.9", \
151 "457.6, 495.9, 567.0, 687.1, 942.9", \
152 "537.5, 575.8, 646.9, 767.0, 1022.7", \
153 "682.3, 720.6, 791.7, 911.8, 1167.5");
154 }
155 rise_transition (load_5__0) {
156 values ("99.2, 137.1, 213.7, 359.0, 698.4");
157 }
158 }
159 }
160 }
161
162 }