Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / ms / sta.tcl
1 #!/usr/bin/env avt_shell
2
3 set fig [ttv_LoadSpecifiedTimingFigure msdp2_y]
4
5 # Static Timing Analysis
6
7 inf_SetFigureName msdp2_y
8
9 create_clock -period 1000 -waveform {500 0} ck
10 set_input_delay -clock ck -clock_fall -min 200 di
11 set_input_delay -clock ck -clock_fall -max 300 di
12
13 set_output_delay -clock ck -clock_fall -min 200 t
14 set_output_delay -clock ck -clock_fall -max 400 t
15
16 set stbfig [stb $fig]
17
18 stb_DisplaySlackReport [fopen slack.rep w] $stbfig * * ?? 10 all 10000