Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / yagle / dram / run.tcl
1 #!/usr/bin/env avt_shell
2
3 set writesig "write_in"
4
5 set figname dram
6 avt_config simToolModel hspice
7 avt_config simTool ngspice
8 avt_config simTechnologyName "../techno/bsim4_dummy.hsp"
9 avt_config avtSpiceString "../../bin/Linux/ngspice -b $"
10
11 avt_config avtVddName "vdd:vddprch"
12 avt_config avtVssName "vss"
13 avt_config avtGlobalVddName "vdd:vddprch"
14 avt_config avtGlobalVssName "vss"
15
16 avt_config yagUseGenius yes
17 avt_config avtOutputBehaviorFormat vlg
18
19 avt_config avtOutputNetlistFormat vlg
20 avt_config avtVerboseConeFile yes
21 avt_config yagGenerateConeFile yes
22 avt_config yagTasTiming max
23 avt_config avtSpiFlags KeepBBOXContent
24 avt_config yagleVectorizeInterface yes
25 avt_config yagleIgnoreBlackboxes yes
26 avt_config avtSpiKeepCards all
27 avt_config apiDriveCorrespondenceTable yes
28 avt_config apiUseCorrespondenceTable yes
29 avt_config avtVerilogKeepNames yes
30
31 avt_SetBlackBoxes {cells}
32
33 inf_SetFigureName $figname
34
35 avt_LoadFile dram.net spice
36
37 yagle $figname