check sc 1 and sc 2 too
[microwatt.git] / git.vhdl.in
1 library ieee;
2 use ieee.std_logic_1164.all;
3
4 library work;
5
6 package git is
7 constant GIT_HASH : std_ulogic_vector(55 downto 0) := x"@hash@";
8 constant GIT_DIRTY : std_ulogic := '@dirty@';
9 end git;