Merge pull request #49 from antonblanchard/icache-2
[microwatt.git] / microwatt.core
1 CAPI=2:
2
3 name : ::microwatt:0
4
5 filesets:
6 core:
7 files:
8 - decode_types.vhdl
9 - wishbone_types.vhdl
10 - common.vhdl
11 - fetch1.vhdl
12 - fetch2.vhdl
13 - decode1.vhdl
14 - helpers.vhdl
15 - decode2.vhdl
16 - register_file.vhdl
17 - cr_file.vhdl
18 - crhelpers.vhdl
19 - ppc_fx_insns.vhdl
20 - sim_console.vhdl
21 - execute1.vhdl
22 - execute2.vhdl
23 - loadstore1.vhdl
24 - loadstore2.vhdl
25 - multiply.vhdl
26 - writeback.vhdl
27 - insn_helpers.vhdl
28 - core.vhdl
29 - icache.vhdl
30 file_type : vhdlSource-2008
31
32 soc:
33 files:
34 - wishbone_arbiter.vhdl
35 - soc.vhdl
36 file_type : vhdlSource-2008
37
38 fpga:
39 files:
40 - fpga/pp_fifo.vhd
41 - fpga/mw_soc_memory.vhdl
42 - fpga/soc_reset.vhdl
43 - fpga/pp_soc_uart.vhd
44 - fpga/pp_utilities.vhd
45 - fpga/toplevel.vhdl
46 - fpga/firmware.hex : {copyto : firmware.hex, file_type : user}
47 file_type : vhdlSource-2008
48
49 nexys_a7:
50 files:
51 - fpga/nexys_a7.xdc : {file_type : xdc}
52 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
53
54 nexys_video:
55 files:
56 - fpga/nexys-video.xdc : {file_type : xdc}
57 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
58
59 arty_a7-35:
60 files:
61 - fpga/arty_a7-35.xdc : {file_type : xdc}
62 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
63
64 cmod_a7-35:
65 files:
66 - fpga/cmod_a7-35.xdc : {file_type : xdc}
67 - fpga/clk_gen_mcmm.vhd : {file_type : vhdlSource-2008}
68
69 targets:
70 nexys_a7:
71 default_tool: vivado
72 filesets: [core, nexys_a7, soc, fpga]
73 parameters : [memory_size, ram_init_file]
74 tools:
75 vivado: {part : xc7a100tcsg324-1}
76 toplevel : toplevel
77
78 nexys_video:
79 default_tool: vivado
80 filesets: [core, nexys_video, soc, fpga]
81 parameters : [memory_size, ram_init_file]
82 tools:
83 vivado: {part : xc7a200tsbg484-1}
84 toplevel : toplevel
85
86 arty_a7-35:
87 default_tool: vivado
88 filesets: [core, arty_a7-35, soc, fpga]
89 parameters : [memory_size, ram_init_file]
90 tools:
91 vivado: {part : xc7a35ticsg324-1L}
92 toplevel : toplevel
93
94 cmod_a7-35:
95 default_tool: vivado
96 filesets: [core, cmod_a7-35, soc, fpga]
97 parameters : [memory_size, ram_init_file, reset_low=false]
98 tools:
99 vivado: {part : xc7a35tcpg236-1}
100 toplevel : toplevel
101
102 synth:
103 filesets: [core, soc]
104 tools:
105 vivado: {pnr : none}
106 toplevel: core
107
108 parameters:
109 memory_size:
110 datatype : int
111 description : On-chip memory size (bytes)
112 paramtype : generic
113
114 ram_init_file:
115 datatype : file
116 description : Initial on-chip RAM contents
117 paramtype : generic
118
119 reset_low:
120 datatype : bool
121 description : External reset button polarity
122 paramtype : generic