Merge pull request #20 from antonblanchard/reset-rework2
[microwatt.git] / microwatt.core
1 CAPI=2:
2
3 name : ::microwatt:0
4
5 filesets:
6 core:
7 files:
8 - decode_types.vhdl
9 - wishbone_types.vhdl
10 - common.vhdl
11 - fetch1.vhdl
12 - fetch2.vhdl
13 - decode1.vhdl
14 - helpers.vhdl
15 - decode2.vhdl
16 - register_file.vhdl
17 - cr_file.vhdl
18 - crhelpers.vhdl
19 - ppc_fx_insns.vhdl
20 - sim_console.vhdl
21 - execute1.vhdl
22 - execute2.vhdl
23 - loadstore1.vhdl
24 - loadstore2.vhdl
25 - multiply.vhdl
26 - writeback.vhdl
27 - wishbone_arbiter.vhdl
28 - insn_helpers.vhdl
29 - core.vhdl
30 file_type : vhdlSource-2008
31
32 soc:
33 files:
34 - fpga/pp_fifo.vhd
35 - fpga/pp_soc_memory.vhd
36 - fpga/soc_reset.vhdl
37 - fpga/pp_soc_uart.vhd
38 - fpga/pp_utilities.vhd
39 - fpga/toplevel.vhd
40 - fpga/firmware.hex : {copyto : firmware.hex, file_type : user}
41 file_type : vhdlSource-2008
42
43 nexys_a7:
44 files:
45 - fpga/nexys_a7.xdc : {file_type : xdc}
46 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
47
48 nexys_video:
49 files:
50 - fpga/nexys-video.xdc : {file_type : xdc}
51 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
52
53 arty_a7-35:
54 files:
55 - fpga/arty_a7-35.xdc : {file_type : xdc}
56 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
57
58
59 targets:
60 nexys_a7:
61 default_tool: vivado
62 filesets: [core, nexys_a7, soc]
63 parameters : [memory_size, ram_init_file]
64 tools:
65 vivado: {part : xc7a100tcsg324-1}
66 toplevel : toplevel
67
68 nexys_video:
69 default_tool: vivado
70 filesets: [core, nexys_video, soc]
71 parameters : [memory_size, ram_init_file]
72 tools:
73 vivado: {part : xc7a200tsbg484-1}
74 toplevel : toplevel
75
76 arty_a7-35:
77 default_tool: vivado
78 filesets: [core, arty_a7-35, soc]
79 parameters : [memory_size, ram_init_file]
80 tools:
81 vivado: {part : xc7a35ticsg324-1L}
82 toplevel : toplevel
83
84 synth:
85 filesets: [core]
86 tools:
87 vivado: {pnr : none}
88 toplevel: core
89
90 parameters:
91 memory_size:
92 datatype : int
93 description : On-chip memory size (bytes)
94 paramtype : generic
95
96 ram_init_file:
97 datatype : file
98 description : Initial on-chip RAM contents
99 paramtype : generic