Add option to not flatten hierarchy
[microwatt.git] / microwatt.core
1 CAPI=2:
2
3 name : ::microwatt:0
4
5 filesets:
6 core:
7 files:
8 - decode_types.vhdl
9 - wishbone_types.vhdl
10 - common.vhdl
11 - fetch1.vhdl
12 - fetch2.vhdl
13 - decode1.vhdl
14 - helpers.vhdl
15 - decode2.vhdl
16 - register_file.vhdl
17 - cr_file.vhdl
18 - crhelpers.vhdl
19 - ppc_fx_insns.vhdl
20 - sim_console.vhdl
21 - logical.vhdl
22 - countzero.vhdl
23 - gpr_hazard.vhdl
24 - cr_hazard.vhdl
25 - control.vhdl
26 - execute1.vhdl
27 - loadstore1.vhdl
28 - dcache.vhdl
29 - multiply.vhdl
30 - divider.vhdl
31 - rotator.vhdl
32 - writeback.vhdl
33 - insn_helpers.vhdl
34 - core.vhdl
35 - icache.vhdl
36 - plru.vhdl
37 - cache_ram.vhdl
38 - core_debug.vhdl
39 file_type : vhdlSource-2008
40
41 soc:
42 files:
43 - wishbone_arbiter.vhdl
44 - wishbone_debug_master.vhdl
45 - soc.vhdl
46 file_type : vhdlSource-2008
47
48 fpga:
49 files:
50 - fpga/pp_fifo.vhd
51 - fpga/mw_soc_memory.vhdl
52 - fpga/soc_reset.vhdl
53 - fpga/pp_soc_uart.vhd
54 - fpga/pp_utilities.vhd
55 - fpga/toplevel.vhdl
56 - fpga/firmware.hex : {copyto : firmware.hex, file_type : user}
57 file_type : vhdlSource-2008
58
59 debug_xilinx:
60 files:
61 - dmi_dtm_xilinx.vhdl : {file_type : vhdlSource-2008}
62
63 debug_dummy:
64 files:
65 - dmi_dtm_dummy.vhdl : {file_type : vhdlSource-2008}
66
67 nexys_a7:
68 files:
69 - fpga/nexys_a7.xdc : {file_type : xdc}
70 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
71
72 nexys_video:
73 files:
74 - fpga/nexys-video.xdc : {file_type : xdc}
75 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
76
77 arty_a7:
78 files:
79 - fpga/arty_a7.xdc : {file_type : xdc}
80 - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
81
82 cmod_a7-35:
83 files:
84 - fpga/cmod_a7-35.xdc : {file_type : xdc}
85 - fpga/clk_gen_mcmm.vhd : {file_type : vhdlSource-2008}
86
87 targets:
88 nexys_a7:
89 default_tool: vivado
90 filesets: [core, nexys_a7, soc, fpga, debug_xilinx]
91 parameters :
92 - memory_size
93 - ram_init_file
94 - clk_input
95 - clk_frequency
96 - disable_flatten_core
97 tools:
98 vivado: {part : xc7a100tcsg324-1}
99 toplevel : toplevel
100
101 nexys_video:
102 default_tool: vivado
103 filesets: [core, nexys_video, soc, fpga, debug_xilinx]
104 parameters :
105 - memory_size
106 - ram_init_file
107 - clk_input
108 - clk_frequency
109 - disable_flatten_core
110 tools:
111 vivado: {part : xc7a200tsbg484-1}
112 toplevel : toplevel
113
114 arty_a7-35:
115 default_tool: vivado
116 filesets: [core, arty_a7, soc, fpga, debug_xilinx]
117 parameters :
118 - memory_size
119 - ram_init_file
120 - clk_input
121 - clk_frequency
122 - disable_flatten_core
123 tools:
124 vivado: {part : xc7a35ticsg324-1L}
125 toplevel : toplevel
126
127 arty_a7-100:
128 default_tool: vivado
129 filesets: [core, arty_a7, soc, fpga, debug_xilinx]
130 parameters :
131 - memory_size
132 - ram_init_file
133 - clk_input
134 - clk_frequency
135 - disable_flatten_core
136 tools:
137 vivado: {part : xc7a100ticsg324-1L}
138 toplevel : toplevel
139
140 cmod_a7-35:
141 default_tool: vivado
142 filesets: [core, cmod_a7-35, soc, fpga, debug_xilinx]
143 parameters :
144 - memory_size
145 - ram_init_file
146 - reset_low=false
147 - clk_input=12000000
148 - clk_frequency
149 - disable_flatten_core
150 tools:
151 vivado: {part : xc7a35tcpg236-1}
152 toplevel : toplevel
153
154 synth:
155 filesets: [core, soc]
156 tools:
157 vivado: {pnr : none}
158 toplevel: core
159
160 parameters:
161 memory_size:
162 datatype : int
163 description : On-chip memory size (bytes)
164 paramtype : generic
165
166 ram_init_file:
167 datatype : file
168 description : Initial on-chip RAM contents
169 paramtype : generic
170
171 reset_low:
172 datatype : bool
173 description : External reset button polarity
174 paramtype : generic
175
176 clk_input:
177 datatype : int
178 description : Clock input frequency in HZ (for top-generic based boards)
179 paramtype : generic
180 default : 100000000
181
182 clk_frequency:
183 datatype : int
184 description : Generated system clock frequency in HZ (for top-generic based boards)
185 paramtype : generic
186 default : 50000000
187
188 disable_flatten_core:
189 datatype : bool
190 description : Prevent Vivado from flattening the main core components
191 paramtype : generic
192 default : false