ec979a35869274e836676ea714e8869c02423b6c
[libreriscv.git] / simple_v_extension.mdwn
1 # Variable-width Variable-packed SIMD / Simple-V / Parallelism Extension Proposal
2
3 [[!toc ]]
4
5 # Summary
6
7 Key insight: Simple-V is intended as an abstraction layer to provide
8 a consistent "API" to parallelisation of existing *and future* operations.
9 *Actual* internal hardware-level parallelism is *not* required, such
10 that Simple-V may be viewed as providing a "compact" or "consolidated"
11 means of issuing multiple near-identical arithmetic instructions to an
12 instruction queue (FILO), pending execution.
13
14 *Actual* parallelism, if added independently of Simple-V in the form
15 of Out-of-order restructuring (including parallel ALU lanes) or VLIW
16 implementations, or SIMD, or anything else, would then benefit *if*
17 Simple-V was added on top.
18
19 # Introduction
20
21 This proposal exists so as to be able to satisfy several disparate
22 requirements: power-conscious, area-conscious, and performance-conscious
23 designs all pull an ISA and its implementation in different conflicting
24 directions, as do the specific intended uses for any given implementation.
25
26 Additionally, the existing P (SIMD) proposal and the V (Vector) proposals,
27 whilst each extremely powerful in their own right and clearly desirable,
28 are also:
29
30 * Clearly independent in their origins (Cray and AndesStar v3 respectively)
31 so need work to adapt to the RISC-V ethos and paradigm
32 * Are sufficiently large so as to make adoption (and exploration for
33 analysis and review purposes) prohibitively expensive
34 * Both contain partial duplication of pre-existing RISC-V instructions
35 (an undesirable characteristic)
36 * Both have independent and disparate methods for introducing parallelism
37 at the instruction level.
38 * Both require that their respective parallelism paradigm be implemented
39 along-side and integral to their respective functionality *or not at all*.
40 * Both independently have methods for introducing parallelism that
41 could, if separated, benefit
42 *other areas of RISC-V not just DSP or Floating-point respectively*.
43
44 Therefore it makes a huge amount of sense to have a means and method
45 of introducing instruction parallelism in a flexible way that provides
46 implementors with the option to choose exactly where they wish to offer
47 performance improvements and where they wish to optimise for power
48 and/or area (and if that can be offered even on a per-operation basis that
49 would provide even more flexibility).
50
51 Additionally it makes sense to *split out* the parallelism inherent within
52 each of P and V, and to see if each of P and V then, in *combination* with
53 a "best-of-both" parallelism extension, could be added on *on top* of
54 this proposal, to topologically provide the exact same functionality of
55 each of P and V.
56
57 Furthermore, an additional goal of this proposal is to reduce the number
58 of opcodes utilised by each of P and V as they currently stand, leveraging
59 existing RISC-V opcodes where possible, and also potentially allowing
60 P and V to make use of Compressed Instructions as a result.
61
62 **TODO**: reword this to better suit this document:
63
64 Having looked at both P and V as they stand, they're _both_ very much
65 "separate engines" that, despite both their respective merits and
66 extremely powerful features, don't really cleanly fit into the RV design
67 ethos (or the flexible extensibility) and, as such, are both in danger
68 of not being widely adopted. I'm inclined towards recommending:
69
70 * splitting out the DSP aspects of P-SIMD to create a single-issue DSP
71 * splitting out the polymorphism, esoteric data types (GF, complex
72 numbers) and unusual operations of V to create a single-issue "Esoteric
73 Floating-Point" extension
74 * splitting out the loop-aspects, vector aspects and data-width aspects
75 of both P and V to a *new* "P-SIMD / Simple-V" and requiring that they
76 apply across *all* Extensions, whether those be DSP, M, Base, V, P -
77 everything.
78
79 **TODO**: propose overflow registers be actually one of the integer regs
80 (flowing to multiple regs).
81
82 **TODO**: propose "mask" (predication) registers likewise. combination with
83 standard RV instructions and overflow registers extremely powerful
84
85 # Analysis and discussion of Vector vs SIMD
86
87 There are five combined areas between the two proposals that help with
88 parallelism without over-burdening the ISA with a huge proliferation of
89 instructions:
90
91 * Fixed vs variable parallelism (fixed or variable "M" in SIMD)
92 * Implicit vs fixed instruction bit-width (integral to instruction or not)
93 * Implicit vs explicit type-conversion (compounded on bit-width)
94 * Implicit vs explicit inner loops.
95 * Masks / tagging (selecting/preventing certain indexed elements from execution)
96
97 The pros and cons of each are discussed and analysed below.
98
99 ## Fixed vs variable parallelism length
100
101 In David Patterson and Andrew Waterman's analysis of SIMD and Vector
102 ISAs, the analysis comes out clearly in favour of (effectively) variable
103 length SIMD. As SIMD is a fixed width, typically 4, 8 or in extreme cases
104 16 or 32 simultaneous operations, the setup, teardown and corner-cases of SIMD
105 are extremely burdensome except for applications whose requirements
106 *specifically* match the *precise and exact* depth of the SIMD engine.
107
108 Thus, SIMD, no matter what width is chosen, is never going to be acceptable
109 for general-purpose computation, and in the context of developing a
110 general-purpose ISA, is never going to satisfy 100 percent of implementors.
111
112 That basically leaves "variable-length vector" as the clear *general-purpose*
113 winner, at least in terms of greatly simplifying the instruction set,
114 reducing the number of instructions required for any given task, and thus
115 reducing power consumption for the same.
116
117 ## Implicit vs fixed instruction bit-width
118
119 SIMD again has a severe disadvantage here, over Vector: huge proliferation
120 of specialist instructions that target 8-bit, 16-bit, 32-bit, 64-bit, and
121 have to then have operations *for each and between each*. It gets very
122 messy, very quickly.
123
124 The V-Extension on the other hand proposes to set the bit-width of
125 future instructions on a per-register basis, such that subsequent instructions
126 involving that register are *implicitly* of that particular bit-width until
127 otherwise changed or reset.
128
129 This has some extremely useful properties, without being particularly
130 burdensome to implementations, given that instruction decode already has
131 to direct the operation to a correctly-sized width ALU engine, anyway.
132
133 Not least: in places where an ISA was previously constrained (due for
134 whatever reason, including limitations of the available operand spcace),
135 implicit bit-width allows the meaning of certain operations to be
136 type-overloaded *without* pollution or alteration of frozen and immutable
137 instructions, in a fully backwards-compatible fashion.
138
139 ## Implicit and explicit type-conversion
140
141 The Draft 2.3 V-extension proposal has (deprecated) polymorphism to help
142 deal with over-population of instructions, such that type-casting from
143 integer (and floating point) of various sizes is automatically inferred
144 due to "type tagging" that is set with a special instruction. A register
145 will be *specifically* marked as "16-bit Floating-Point" and, if added
146 to an operand that is specifically tagged as "32-bit Integer" an implicit
147 type-conversion will take placce *without* requiring that type-conversion
148 to be explicitly done with its own separate instruction.
149
150 However, implicit type-conversion is not only quite burdensome to
151 implement (explosion of inferred type-to-type conversion) but also is
152 never really going to be complete. It gets even worse when bit-widths
153 also have to be taken into consideration.
154
155 Overall, type-conversion is generally best to leave to explicit
156 type-conversion instructions, or in definite specific use-cases left to
157 be part of an actual instruction (DSP or FP)
158
159 ## Zero-overhead loops vs explicit loops
160
161 The initial Draft P-SIMD Proposal by Chuanhua Chang of Andes Technology
162 contains an extremely interesting feature: zero-overhead loops. This
163 proposal would basically allow an inner loop of instructions to be
164 repeated indefinitely, a fixed number of times.
165
166 Its specific advantage over explicit loops is that the pipeline in a DSP
167 can potentially be kept completely full *even in an in-order single-issue
168 implementation*. Normally, it requires a superscalar architecture and
169 out-of-order execution capabilities to "pre-process" instructions in
170 order to keep ALU pipelines 100% occupied.
171
172 By bringing that capability in, this proposal could offer a way to increase
173 pipeline activity even in simpler implementations in the one key area
174 which really matters: the inner loop.
175
176 However when looking at much more comprehensive schemes
177 "A portable specification of zero-overhead loop control hardware
178 applied to embedded processors" (ZOLC), optimising only the single
179 inner loop seems inadequate, tending to suggest that ZOLC may be
180 better off being proposed as an entirely separate Extension.
181
182 ## Mask and Tagging (Predication)
183
184 Tagging (aka Masks aka Predication) is a pseudo-method of implementing
185 simplistic branching in a parallel fashion, by allowing execution on
186 elements of a vector to be switched on or off depending on the results
187 of prior operations in the same array position.
188
189 The reason for considering this is simple: by *definition* it
190 is not possible to perform individual parallel branches in a SIMD
191 (Single-Instruction, **Multiple**-Data) context. Branches (modifying
192 of the Program Counter) will result in *all* parallel data having
193 a different instruction executed on it: that's just the definition of
194 SIMD, and it is simply unavoidable.
195
196 So these are the ways in which conditional execution may be implemented:
197
198 * explicit compare and branch: BNE x, y -> offs would jump offs
199 instructions if x was not equal to y
200 * explicit store of tag condition: CMP x, y -> tagbit
201 * implicit (condition-code) ADD results in a carry, carry bit implicitly
202 (or sometimes explicitly) goes into a "tag" (mask) register
203
204 The first of these is a "normal" branch method, which is flat-out impossible
205 to parallelise without look-ahead and effectively rewriting instructions.
206 This would defeat the purpose of RISC.
207
208 The latter two are where parallelism becomes easy to do without complexity:
209 every operation is modified to be "conditionally executed" (in an explicit
210 way directly in the instruction format *or* implicitly).
211
212 RVV (Vector-Extension) proposes to have *explicit* storing of the compare
213 in a tag/mask register, and to *explicitly* have every vector operation
214 *require* that its operation be "predicated" on the bits within an
215 explicitly-named tag/mask register.
216
217 SIMD (P-Extension) has not yet published precise documentation on what its
218 schema is to be: there is however verbal indication at the time of writing
219 that:
220
221 > The "compare" instructions in the DSP/SIMD ISA proposed by Andes will
222 > be executed using the same compare ALU logic for the base ISA with some
223 > minor modifications to handle smaller data types. The function will not
224 > be duplicated.
225
226 This is an *implicit* form of predication as the base RV ISA does not have
227 condition-codes or predication. By adding a CSR it becomes possible
228 to also tag certain registers as "predicated if referenced as a destination".
229 Example:
230
231 // in future operations if r0 is the destination use r5 as
232 // the PREDICATION register
233 IMPLICICSRPREDICATE r0, r5
234 // store the compares in r5 as the PREDICATION register
235 CMPEQ8 r5, r1, r2
236 // r0 is used here. ah ha! that means it's predicated using r5!
237 ADD8 r0, r1, r3
238
239 With enough registers (and there are enough registers) some fairly
240 complex predication can be set up and yet still execute without significant
241 stalling, even in a simple non-superscalar architecture.
242
243 ### Retro-fitting Predication into branch-explicit ISA
244
245 One of the goals of this parallelism proposal is to avoid instruction
246 duplication. However, with the base ISA having been designed explictly
247 to *avoid* condition-codes entirely, shoe-horning predication into it
248 bcomes quite challenging.
249
250 However what if all branch instructions, if referencing a vectorised
251 register, were instead given *completely new analogous meanings* that
252 resulted in a parallel bit-wise predication register being set? This
253 would have to be done for both C.BEQZ and C.BNEZ, as well as BEQ, BNE,
254 BLT and BGE.
255
256 We might imagine that FEQ, FLT and FLT would also need to be converted,
257 however these are effectively *already* in the precise form needed and
258 do not need to be converted *at all*! The difference is that FEQ, FLT
259 and FLE *specifically* write a 1 to an integer register if the condition
260 holds, and 0 if not. All that needs to be done here is to say, "if
261 the integer register is tagged with a bit that says it is a predication
262 register, the **bit** in the integer register is set based on the
263 current vector index" instead.
264
265 There is, in the standard Conditional Branch instruction, more than
266 adequate space to interpret it in a similar fashion:
267
268 [[!table data="""
269 31 |30 ..... 25 |24 ... 20 | 19 ... 15 | 14 ...... 12 | 11 ....... 8 | 7 | 6 ....... 0 |
270 imm[12] | imm[10:5] | rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
271 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
272 offset[12,10:5] || src2 | src1 | BEQ | offset[11,4:1] || BRANCH |
273 """]]
274
275 This would become:
276
277 [[!table data="""
278 31 |30 ..... 25 |24 ... 20 | 19 ... 15 | 14 ...... 12 | 11 ....... 8 | 7 | 6 ....... 0 |
279 imm[12] | imm[10:5] | rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
280 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
281 reserved || src2 | src1 | BEQ | predicate rs3 || BRANCH |
282 """]]
283
284 Similarly the C.BEQZ and C.BNEZ instruction format may be retro-fitted,
285 with the interesting side-effect that there is space within what is presently
286 the "immediate offset" field to reinterpret that to add in not only a bit
287 field to distinguish between floating-point compare and integer compare,
288 not only to add in a second source register, but also use some of the bits as
289 a predication target as well.
290
291 [[!table data="""
292 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 ................. 2 | 1 .. 0 |
293 funct3 | imm | rs10 | imm | op |
294 3 | 3 | 3 | 5 | 2 |
295 C.BEQZ | offset[8,4:3] | src | offset[7:6,2:1,5] | C1 |
296 """]]
297
298 Now uses the CS format:
299
300 [[!table data="""
301 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 .. 5 | 4......... 2 | 1 .. 0 |
302 funct3 | imm | rs10 | imm | | op |
303 3 | 3 | 3 | 2 | 3 | 2 |
304 C.BEQZ | predicate rs3 | src1 | I/F B | src2 | C1 |
305 """]]
306
307 Bit 6 would be decoded as "operation refers to Integer or Float" including
308 interpreting src1 and src2 accordingly as outlined in Table 12.2 of the
309 "C" Standard, version 2.0,
310 whilst Bit 5 would allow the operation to be extended, in combination with
311 funct3 = 110 or 111: a combination of four distinct (predicated) comparison
312 operators. In both floating-point and integer cases those could be
313 EQ/NEQ/LT/LE (with GT and GE being synthesised by inverting src1 and src2).
314
315 ## Conclusions
316
317 In the above sections the five different ways where parallel instruction
318 execution has closely and loosely inter-related implications for the ISA and
319 for implementors, were outlined. The pluses and minuses came out as
320 follows:
321
322 * Fixed vs variable parallelism: <b>variable</b>
323 * Implicit (indirect) vs fixed (integral) instruction bit-width: <b>indirect</b>
324 * Implicit vs explicit type-conversion: <b>explicit</b>
325 * Implicit vs explicit inner loops: <b>implicit but best done separately</b>
326 * Tag or no-tag: <b>Complex but highly beneficial</b>
327
328 In particular:
329
330 * variable-length vectors came out on top because of the high setup, teardown
331 and corner-cases associated with the fixed width of SIMD.
332 * Implicit bit-width helps to extend the ISA to escape from
333 former limitations and restrictions (in a backwards-compatible fashion),
334 whilst also leaving implementors free to simmplify implementations
335 by using actual explicit internal parallelism.
336 * Implicit (zero-overhead) loops provide a means to keep pipelines
337 potentially 100% occupied in a single-issue in-order implementation
338 i.e. *without* requiring a super-scalar or out-of-order architecture,
339 but doing a proper, full job (ZOLC) is an entirely different matter.
340
341 Constructing a SIMD/Simple-Vector proposal based around four of these five
342 requirements would therefore seem to be a logical thing to do.
343
344 # Instruction Format
345
346 **TODO** *basically borrow from both P and V, which should be quite simple
347 to do, with the exception of Tag/no-tag, which needs a bit more
348 thought. V's Section 17.19 of Draft V2.3 spec is reminiscent of B's BGS
349 gather-scatterer, and, if implemented, could actually be a really useful
350 way to span 8-bit up to 64-bit groups of data, where BGS as it stands
351 and described by Clifford does **bits** of up to 16 width. Lots to
352 look at and investigate*
353
354 * For analysis of RVV see [[v_comparative_analysis]] which begins to
355 outline topologically-equivalent mappings of instructions
356
357 # Note on implementation of parallelism
358
359 One extremely important aspect of this proposal is to respect and support
360 implementors desire to focus on power, area or performance. In that regard,
361 it is proposed that implementors be free to choose whether to implement
362 the Vector (or variable-width SIMD) parallelism as sequential operations
363 with a single ALU, fully parallel (if practical) with multiple ALUs, or
364 a hybrid combination of both.
365
366 In Broadcom's Videocore-IV, they chose hybrid, and called it "Virtual
367 Parallelism". They achieve a 16-way SIMD at an **instruction** level
368 by providing a combination of a 4-way parallel ALU *and* an externally
369 transparent loop that feeds 4 sequential sets of data into each of the
370 4 ALUs.
371
372 Also in the same core, it is worth noting that particularly uncommon
373 but essential operations (Reciprocal-Square-Root for example) are
374 *not* part of the 4-way parallel ALU but instead have a *single* ALU.
375 Under the proposed Vector (varible-width SIMD) implementors would
376 be free to do precisely that: i.e. free to choose *on a per operation
377 basis* whether and how much "Virtual Parallelism" to deploy.
378
379 It is absolutely critical to note that it is proposed that such choices MUST
380 be **entirely transparent** to the end-user and the compiler. Whilst
381 a Vector (varible-width SIM) may not precisely match the width of the
382 parallelism within the implementation, the end-user **should not care**
383 and in this way the performance benefits are gained but the ISA remains
384 straightforward. All that happens at the end of an instruction run is: some
385 parallel units (if there are any) would remain offline, completely
386 transparently to the ISA, the program, and the compiler.
387
388 The "SIMD considered harmful" trap of having huge complexity and extra
389 instructions to deal with corner-cases is thus avoided, and implementors
390 get to choose precisely where to focus and target the benefits of their
391 implementation efforts, without "extra baggage".
392
393 # CSRs <a name="csrs"></a>
394
395 There are a number of CSRs needed, which are used at the instruction
396 decode phase to re-interpret standard RV opcodes (a practice that has
397 precedent in the setting of MISA to enable / disable extensions).
398
399 * Integer Register N is Vector of length M: r(N) -> r(N..N+M-1)
400 * Integer Register N is of implicit bitwidth M (M=default,8,16,32,64)
401 * Floating-point Register N is Vector of length M: r(N) -> r(N..N+M-1)
402 * Floating-point Register N is of implicit bitwidth M (M=default,8,16,32,64)
403 * Integer Register N is a Predication Register (note: a key-value store)
404
405 Notes:
406
407 * for the purposes of LOAD / STORE, Integer Registers which are
408 marked as a Vector will result in a Vector LOAD / STORE.
409 * Vector Lengths are *not* the same as vsetl but are an integral part
410 of vsetl.
411 * Actual vector length is *multipled* by how many blocks of length
412 "bitwidth" may fit into an XLEN-sized register file.
413 * Predication is a key-value store due to the implicit referencing,
414 as opposed to having the predicate register explicitly in the instruction.
415
416 ## Predication CSR
417
418 The Predication CSR is a key-value store indicating whether, if a given
419 destination register (integer or floating-point) is referred to in an
420 instruction, it is to be predicated. The first entry is whether predication
421 is enabled. The second entry is whether the register index refers to a
422 floating-point or an integer register. The third entry is the index
423 of that register which is to be predicated (if referred to). The fourth entry
424 is the integer register that is treated as a bitfield, indexable by the
425 vector element index.
426
427 | RegNo | 6 | 5 | (4..0) | (4..0) |
428 | ----- | - | - | ------- | ------- |
429 | r0 | pren0 | i/f | regidx | predidx |
430 | r1 | pren1 | i/f | regidx | predidx |
431 | .. | pren.. | i/f | regidx | predidx |
432 | r15 | pren15 | i/f | regidx | predidx |
433
434 The Predication CSR Table is a key-value store, so implementation-wise
435 it will be faster to turn the table around (maintain topologically
436 equivalent state):
437
438 fp_pred_enabled[32];
439 int_pred_enabled[32];
440 for (i = 0; i < 16; i++)
441 if CSRpred[i].pren:
442 idx = CSRpred[i].regidx
443 predidx = CSRpred[i].predidx
444 if CSRpred[i].type == 0: # integer
445 int_pred_enabled[idx] = 1
446 int_pred_reg[idx] = predidx
447 else:
448 fp_pred_enabled[idx] = 1
449 fp_pred_reg[idx] = predidx
450
451 So when an operation is to be predicated, it is the internal state that
452 is used. In Section 6.4.2 of Hwacha's Manual (EECS-2015-262) the following
453 pseudo-code for operations is given, where p is the explicit (direct)
454 reference to the predication register to be used:
455
456 for (int i=0; i<vl; ++i)
457 if ([!]preg[p][i])
458 (d ? vreg[rd][i] : sreg[rd]) =
459 iop(s1 ? vreg[rs1][i] : sreg[rs1],
460 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
461
462 This instead becomes an *indirect* reference using the *internal* state
463 table generated from the Predication CSR key-value store:
464
465 if type(iop) == INT:
466 pred_enabled = int_pred_enabled
467 preg = int_pred_reg[rd]
468 else:
469 pred_enabled = fp_pred_enabled
470 preg = fp_pred_reg[rd]
471
472 for (int i=0; i<vl; ++i)
473 if (preg_enabled[rd] && [!]preg[i])
474 (d ? vreg[rd][i] : sreg[rd]) =
475 iop(s1 ? vreg[rs1][i] : sreg[rs1],
476 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
477
478 ## MAXVECTORDEPTH
479
480 MAXVECTORDEPTH is the same concept as MVL in RVV. However in Simple-V,
481 given that its primary (base, unextended) purpose is for 3D, Video and
482 other purposes (not requiring supercomputing capability), it makes sense
483 to limit MAXVECTORDEPTH to the regfile bitwidth (32 for RV32, 64 for RV64
484 and so on).
485
486 The reason for setting this limit is so that predication registers, when
487 marked as such, may fit into a single register as opposed to fanning out
488 over several registers. This keeps the implementation a little simpler.
489 Note that RVV on top of Simple-V may choose to over-ride this decision.
490
491 ## Vector-length CSRs
492
493 Vector lengths are interpreted as meaning "any instruction referring to
494 r(N) generates implicit identical instructions referring to registers
495 r(N+M-1) where M is the Vector Length". Vector Lengths may be set to
496 use up to 16 registers in the register file.
497
498 One separate CSR table is needed for each of the integer and floating-point
499 register files:
500
501 | RegNo | (3..0) |
502 | ----- | ------ |
503 | r0 | vlen0 |
504 | r1 | vlen1 |
505 | .. | vlen.. |
506 | r31 | vlen31 |
507
508 An array of 32 4-bit CSRs is needed (4 bits per register) to indicate
509 whether a register was, if referred to in any standard instructions,
510 implicitly to be treated as a vector. A vector length of 1 indicates
511 that it is to be treated as a scalar. Vector lengths of 0 are reserved.
512
513 Internally, implementations may choose to use the non-zero vector length
514 to set a bit-field per register, to be used in the instruction decode phase.
515 In this way any standard (current or future) operation involving
516 register operands may detect if the operation is to be vector-vector,
517 vector-scalar or scalar-scalar (standard) simply through a single
518 bit test.
519
520 Note that when using the "vsetl rs1, rs2" instruction (caveat: when the
521 bitwidth is specifically not set) it becomes:
522
523 CSRvlength = MIN(MIN(CSRvectorlen[rs1], MAXVECTORDEPTH), rs2)
524
525 This is in contrast to RVV:
526
527 CSRvlength = MIN(MIN(rs1, MAXVECTORDEPTH), rs2)
528
529 ## Element (SIMD) bitwidth CSRs
530
531 Element bitwidths may be specified with a per-register CSR, and indicate
532 how a register (integer or floating-point) is to be subdivided.
533
534 | RegNo | (2..0) |
535 | ----- | ------ |
536 | r0 | vew0 |
537 | r1 | vew1 |
538 | .. | vew.. |
539 | r31 | vew31 |
540
541 vew may be one of the following (giving a table "bytestable", used below):
542
543 | vew | bitwidth |
544 | --- | -------- |
545 | 000 | default |
546 | 001 | 8 |
547 | 010 | 16 |
548 | 011 | 32 |
549 | 100 | 64 |
550 | 101 | 128 |
551 | 110 | rsvd |
552 | 111 | rsvd |
553
554 Extending this table (with extra bits) is covered in the section
555 "Implementing RVV on top of Simple-V".
556
557 Note that when using the "vsetl rs1, rs2" instruction, taking bitwidth
558 into account, it becomes:
559
560 vew = CSRbitwidth[rs1]
561 if (vew == 0)
562 bytesperreg = (XLEN/8) # or FLEN as appropriate
563 else:
564 bytesperreg = bytestable[vew] # 1 2 4 8 16
565 simdmult = (XLEN/8) / bytesperreg # or FLEN as appropriate
566 vlen = CSRvectorlen[rs1] * simdmult
567 CSRvlength = MIN(MIN(vlen, MAXVECTORDEPTH), rs2)
568
569 The reason for multiplying the vector length by the number of SIMD elements
570 (in each individual register) is so that each SIMD element may optionally be
571 predicated.
572
573 An example of how to subdivide the register file when bitwidth != default
574 is given in the section "Bitwidth Virtual Register Reordering".
575
576 # Exceptions
577
578 > What does an ADD of two different-sized vectors do in simple-V?
579
580 * if the two source operands are not the same, throw an exception.
581 * if the destination operand is also a vector, and the source is longer
582 than the destination, throw an exception.
583
584 > And what about instructions like JALR? 
585 > What does jumping to a vector do?
586
587 * Throw an exception. Whether that actually results in spawning threads
588 as part of the trap-handling remains to be seen.
589
590 # Comparison of "Traditional" SIMD, Alt-RVP, Simple-V and RVV Proposals <a name="parallelism_comparisons"></a>
591
592 This section compares the various parallelism proposals as they stand,
593 including traditional SIMD, in terms of features, ease of implementation,
594 complexity, flexibility, and die area.
595
596 ## [[alt_rvp]]
597
598 Primary benefit of Alt-RVP is the simplicity with which parallelism
599 may be introduced (effective multiplication of regfiles and associated ALUs).
600
601 * plus: the simplicity of the lanes (combined with the regularity of
602 allocating identical opcodes multiple independent registers) meaning
603 that SRAM or 2R1W can be used for entire regfile (potentially).
604 * minus: a more complex instruction set where the parallelism is much
605 more explicitly directly specified in the instruction and
606 * minus: if you *don't* have an explicit instruction (opcode) and you
607 need one, the only place it can be added is... in the vector unit and
608 * minus: opcode functions (and associated ALUs) duplicated in Alt-RVP are
609 not useable or accessible in other Extensions.
610 * plus-and-minus: Lanes may be utilised for high-speed context-switching
611 but with the down-side that they're an all-or-nothing part of the Extension.
612 No Alt-RVP: no fast register-bank switching.
613 * plus: Lane-switching would mean that complex operations not suited to
614 parallelisation can be carried out, followed by further parallel Lane-based
615 work, without moving register contents down to memory (and back)
616 * minus: Access to registers across multiple lanes is challenging. "Solution"
617 is to drop data into memory and immediately back in again (like MMX).
618
619 ## Simple-V
620
621 Primary benefit of Simple-V is the OO abstraction of parallel principles
622 from actual (internal) parallel hardware. It's an API in effect that's
623 designed to be slotted in to an existing implementation (just after
624 instruction decode) with minimum disruption and effort.
625
626 * minus: the complexity of having to use register renames, OoO, VLIW,
627 register file cacheing, all of which has been done before but is a
628 pain
629 * plus: transparent re-use of existing opcodes as-is just indirectly
630 saying "this register's now a vector" which
631 * plus: means that future instructions also get to be inherently
632 parallelised because there's no "separate vector opcodes"
633 * plus: Compressed instructions may also be (indirectly) parallelised
634 * minus: the indirect nature of Simple-V means that setup (setting
635 a CSR register to indicate vector length, a separate one to indicate
636 that it is a predicate register and so on) means a little more setup
637 time than Alt-RVP or RVV's "direct and within the (longer) instruction"
638 approach.
639 * plus: shared register file meaning that, like Alt-RVP, complex
640 operations not suited to parallelisation may be carried out interleaved
641 between parallelised instructions *without* requiring data to be dropped
642 down to memory and back (into a separate vectorised register engine).
643 * plus-and-maybe-minus: re-use of integer and floating-point 32-wide register
644 files means that huge parallel workloads would use up considerable
645 chunks of the register file. However in the case of RV64 and 32-bit
646 operations, that effectively means 64 slots are available for parallel
647 operations.
648 * plus: inherent parallelism (actual parallel ALUs) doesn't actually need to
649 be added, yet the instruction opcodes remain unchanged (and still appear
650 to be parallel). consistent "API" regardless of actual internal parallelism:
651 even an in-order single-issue implementation with a single ALU would still
652 appear to have parallel vectoristion.
653 * hard-to-judge: if actual inherent underlying ALU parallelism is added it's
654 hard to say if there would be pluses or minuses (on die area). At worse it
655 would be "no worse" than existing register renaming, OoO, VLIW and register
656 file cacheing schemes.
657
658 ## RVV (as it stands, Draft 0.4 Section 17, RISC-V ISA V2.3-Draft)
659
660 RVV is extremely well-designed and has some amazing features, including
661 2D reorganisation of memory through LOAD/STORE "strides".
662
663 * plus: regular predictable workload means that implementations may
664 streamline effects on L1/L2 Cache.
665 * plus: regular and clear parallel workload also means that lanes
666 (similar to Alt-RVP) may be used as an implementation detail,
667 using either SRAM or 2R1W registers.
668 * plus: separate engine with no impact on the rest of an implementation
669 * minus: separate *complex* engine with no RTL (ALUs, Pipeline stages) reuse
670 really feasible.
671 * minus: no ISA abstraction or re-use either: additions to other Extensions
672 do not gain parallelism, resulting in prolific duplication of functionality
673 inside RVV *and out*.
674 * minus: when operations require a different approach (scalar operations
675 using the standard integer or FP regfile) an entire vector must be
676 transferred out to memory, into standard regfiles, then back to memory,
677 then back to the vector unit, this to occur potentially multiple times.
678 * minus: will never fit into Compressed instruction space (as-is. May
679 be able to do so if "indirect" features of Simple-V are partially adopted).
680 * plus-and-slight-minus: extended variants may address up to 256
681 vectorised registers (requires 48/64-bit opcodes to do it).
682 * minus-and-partial-plus: separate engine plus complexity increases
683 implementation time and die area, meaning that adoption is likely only
684 to be in high-performance specialist supercomputing (where it will
685 be absolutely superb).
686
687 ## Traditional SIMD
688
689 The only really good things about SIMD are how easy it is to implement and
690 get good performance. Unfortunately that makes it quite seductive...
691
692 * plus: really straightforward, ALU basically does several packed operations
693 at once. Parallelism is inherent at the ALU, making the addition of
694 SIMD-style parallelism an easy decision that has zero significant impact
695 on the rest of any given architectural design and layout.
696 * plus (continuation): SIMD in simple in-order single-issue designs can
697 therefore result in superb throughput, easily achieved even with a very
698 simple execution model.
699 * minus: ridiculously complex setup and corner-cases that disproportionately
700 increase instruction count on what would otherwise be a "simple loop",
701 should the number of elements in an array not happen to exactly match
702 the SIMD group width.
703 * minus: getting data usefully out of registers (if separate regfiles
704 are used) means outputting to memory and back.
705 * minus: quite a lot of supplementary instructions for bit-level manipulation
706 are needed in order to efficiently extract (or prepare) SIMD operands.
707 * minus: MASSIVE proliferation of ISA both in terms of opcodes in one
708 dimension and parallelism (width): an at least O(N^2) and quite probably
709 O(N^3) ISA proliferation that often results in several thousand
710 separate instructions. all requiring separate and distinct corner-case
711 algorithms!
712 * minus: EVEN BIGGER proliferation of SIMD ISA if the functionality of
713 8, 16, 32 or 64-bit reordering is built-in to the SIMD instruction.
714 For example: add (high|low) 16-bits of r1 to (low|high) of r2 requires
715 four separate and distinct instructions: one for (r1:low r2:high),
716 one for (r1:high r2:low), one for (r1:high r2:high) and one for
717 (r1:low r2:low) *per function*.
718 * minus: EVEN BIGGER proliferation of SIMD ISA if there is a mismatch
719 between operand and result bit-widths. In combination with high/low
720 proliferation the situation is made even worse.
721 * minor-saving-grace: some implementations *may* have predication masks
722 that allow control over individual elements within the SIMD block.
723
724 # Comparison *to* Traditional SIMD: Alt-RVP, Simple-V and RVV Proposals <a name="simd_comparison"></a>
725
726 This section compares the various parallelism proposals as they stand,
727 *against* traditional SIMD as opposed to *alongside* SIMD. In other words,
728 the question is asked "How can each of the proposals effectively implement
729 (or replace) SIMD, and how effective would they be"?
730
731 ## [[alt_rvp]]
732
733 * Alt-RVP would not actually replace SIMD but would augment it: just as with
734 a SIMD architecture where the ALU becomes responsible for the parallelism,
735 Alt-RVP ALUs would likewise be so responsible... with *additional*
736 (lane-based) parallelism on top.
737 * Thus at least some of the downsides of SIMD ISA O(N^3) proliferation by
738 at least one dimension are avoided (architectural upgrades introducing
739 128-bit then 256-bit then 512-bit variants of the exact same 64-bit
740 SIMD block)
741 * Thus, unfortunately, Alt-RVP would suffer the same inherent proliferation
742 of instructions as SIMD, albeit not quite as badly (due to Lanes).
743 * In the same discussion for Alt-RVP, an additional proposal was made to
744 be able to subdivide the bits of each register lane (columns) down into
745 arbitrary bit-lengths (RGB 565 for example).
746 * A recommendation was given instead to make the subdivisions down to 32-bit,
747 16-bit or even 8-bit, effectively dividing the registerfile into
748 Lane0(H), Lane0(L), Lane1(H) ... LaneN(L) or further. If inter-lane
749 "swapping" instructions were then introduced, some of the disadvantages
750 of SIMD could be mitigated.
751
752 ## RVV
753
754 * RVV is designed to replace SIMD with a better paradigm: arbitrary-length
755 parallelism.
756 * However whilst SIMD is usually designed for single-issue in-order simple
757 DSPs with a focus on Multimedia (Audio, Video and Image processing),
758 RVV's primary focus appears to be on Supercomputing: optimisation of
759 mathematical operations that fit into the OpenCL space.
760 * Adding functions (operations) that would normally fit (in parallel)
761 into a SIMD instruction requires an equivalent to be added to the
762 RVV Extension, if one does not exist. Given the specialist nature of
763 some SIMD instructions (8-bit or 16-bit saturated or halving add),
764 this possibility seems extremely unlikely to occur, even if the
765 implementation overhead of RVV were acceptable (compared to
766 normal SIMD/DSP-style single-issue in-order simplicity).
767
768 ## Simple-V
769
770 * Simple-V borrows hugely from RVV as it is intended to be easy to
771 topologically transplant every single instruction from RVV (as
772 designed) into Simple-V equivalents, with *zero loss of functionality
773 or capability*.
774 * With the "parallelism" abstracted out, a hypothetical SIMD-less "DSP"
775 Extension which contained the basic primitives (non-parallelised
776 8, 16 or 32-bit SIMD operations) inherently *become* parallel,
777 automatically.
778 * Additionally, standard operations (ADD, MUL) that would normally have
779 to have special SIMD-parallel opcodes added need no longer have *any*
780 of the length-dependent variants (2of 32-bit ADDs in a 64-bit register,
781 4of 32-bit ADDs in a 128-bit register) because Simple-V takes the
782 *standard* RV opcodes (present and future) and automatically parallelises
783 them.
784 * By inheriting the RVV feature of arbitrary vector-length, then just as
785 with RVV the corner-cases and ISA proliferation of SIMD is avoided.
786 * Whilst not entirely finalised, registers are expected to be
787 capable of being subdivided down to an implementor-chosen bitwidth
788 in the underlying hardware (r1 becomes r1[31..24] r1[23..16] r1[15..8]
789 and r1[7..0], or just r1[31..16] r1[15..0]) where implementors can
790 choose to have separate independent 8-bit ALUs or dual-SIMD 16-bit
791 ALUs that perform twin 8-bit operations as they see fit, or anything
792 else including no subdivisions at all.
793 * Even though implementors have that choice even to have full 64-bit
794 (with RV64) SIMD, they *must* provide predication that transparently
795 switches off appropriate units on the last loop, thus neatly fitting
796 underlying SIMD ALU implementations *into* the arbitrary vector-length
797 RVV paradigm, keeping the uniform consistent API that is a key strategic
798 feature of Simple-V.
799 * With Simple-V fitting into the standard register files, certain classes
800 of SIMD operations such as High/Low arithmetic (r1[31..16] + r2[15..0])
801 can be done by applying *Parallelised* Bit-manipulation operations
802 followed by parallelised *straight* versions of element-to-element
803 arithmetic operations, even if the bit-manipulation operations require
804 changing the bitwidth of the "vectors" to do so. Predication can
805 be utilised to skip high words (or low words) in source or destination.
806 * In essence, the key downside of SIMD - massive duplication of
807 identical functions over time as an architecture evolves from 32-bit
808 wide SIMD all the way up to 512-bit, is avoided with Simple-V, through
809 vector-style parallelism being dropped on top of 8-bit or 16-bit
810 operations, all the while keeping a consistent ISA-level "API" irrespective
811 of implementor design choices (or indeed actual implementations).
812
813 # Impementing V on top of Simple-V
814
815 * Number of Offset CSRs extends from 2
816 * Extra register file: vector-file
817 * Setup of Vector length and bitwidth CSRs now can specify vector-file
818 as well as integer or float file.
819 * Extend CSR tables (bitwidth) with extra bits
820 * TODO
821
822 # Implementing P (renamed to DSP) on top of Simple-V
823
824 * Implementors indicate chosen bitwidth support in Vector-bitwidth CSR
825 (caveat: anything not specified drops through to software-emulation / traps)
826 * TODO
827
828 # Appendix
829
830 ## V-Extension to Simple-V Comparative Analysis
831
832 This section has been moved to its own page [[v_comparative_analysis]]
833
834 ## P-Ext ISA
835
836 This section has been moved to its own page [[p_comparative_analysis]]
837
838 ## Example of vector / vector, vector / scalar, scalar / scalar => vector add
839
840 register CSRvectorlen[XLEN][4]; # not quite decided yet about this one...
841 register CSRpredicate[XLEN][4]; # 2^4 is max vector length
842 register CSRreg_is_vectorised[XLEN]; # just for fun support scalars as well
843 register x[32][XLEN];
844
845 function op_add(rd, rs1, rs2, predr)
846 {
847    /* note that this is ADD, not PADD */
848    int i, id, irs1, irs2;
849    # checks CSRvectorlen[rd] == CSRvectorlen[rs] etc. ignored
850    # also destination makes no sense as a scalar but what the hell...
851    for (i = 0, id=0, irs1=0, irs2=0; i<CSRvectorlen[rd]; i++)
852       if (CSRpredicate[predr][i]) # i *think* this is right...
853          x[rd+id] <= x[rs1+irs1] + x[rs2+irs2];
854       # now increment the idxs
855       if (CSRreg_is_vectorised[rd]) # bitfield check rd, scalar/vector?
856          id += 1;
857       if (CSRreg_is_vectorised[rs1]) # bitfield check rs1, scalar/vector?
858          irs1 += 1;
859       if (CSRreg_is_vectorised[rs2]) # bitfield check rs2, scalar/vector?
860          irs2 += 1;
861 }
862
863 ## Register reordering <a name="register_reordering"></a>
864
865 ### Register File
866
867 | Reg Num | Bits |
868 | ------- | ---- |
869 | r0 | (32..0) |
870 | r1 | (32..0) |
871 | r2 | (32..0) |
872 | r3 | (32..0) |
873 | r4 | (32..0) |
874 | r5 | (32..0) |
875 | r6 | (32..0) |
876 | r7 | (32..0) |
877 | .. | (32..0) |
878 | r31| (32..0) |
879
880 ### Vectorised CSR
881
882 May not be an actual CSR: may be generated from Vector Length CSR:
883 single-bit is less burdensome on instruction decode phase.
884
885 | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 |
886 | - | - | - | - | - | - | - | - |
887 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 |
888
889 ### Vector Length CSR
890
891 | Reg Num | (3..0) |
892 | ------- | ---- |
893 | r0 | 2 |
894 | r1 | 0 |
895 | r2 | 1 |
896 | r3 | 1 |
897 | r4 | 3 |
898 | r5 | 0 |
899 | r6 | 0 |
900 | r7 | 1 |
901
902 ### Virtual Register Reordering
903
904 This example assumes the above Vector Length CSR table
905
906 | Reg Num | Bits (0) | Bits (1) | Bits (2) |
907 | ------- | -------- | -------- | -------- |
908 | r0 | (32..0) | (32..0) |
909 | r2 | (32..0) |
910 | r3 | (32..0) |
911 | r4 | (32..0) | (32..0) | (32..0) |
912 | r7 | (32..0) |
913
914 ### Bitwidth Virtual Register Reordering
915
916 This example goes a little further and illustrates the effect that a
917 bitwidth CSR has been set on a register. Preconditions:
918
919 * RV32 assumed
920 * CSRintbitwidth[2] = 010 # integer r2 is 16-bit
921 * CSRintvlength[2] = 3 # integer r2 is a vector of length 3
922 * vsetl rs1, 5 # set the vector length to 5
923
924 This is interpreted as follows:
925
926 * Given that the context is RV32, ELEN=32.
927 * With ELEN=32 and bitwidth=16, the number of SIMD elements is 2
928 * Therefore the actual vector length is up to *six* elements
929
930 So when using an operation that uses r2 as a source (or destination)
931 the operation is carried out as follows:
932
933 * 16-bit operation on r2(15..0) - vector element index 0
934 * 16-bit operation on r2(31..16) - vector element index 1
935 * 16-bit operation on r3(15..0) - vector element index 2
936 * 16-bit operation on r3(31..16) - vector element index 3
937 * 16-bit operation on r4(15..0) - vector element index 4
938 * 16-bit operation on r4(31..16) **NOT** carried out due to length being 5
939
940 Predication has been left out of the above example for simplicity, however
941 predication is ANDed with the latter stages (vsetl not equal to maximum
942 capacity).
943
944 Note also that it is entirely an implementor's choice as to whether to have
945 actual separate ALUs down to the minimum bitwidth, or whether to have something
946 more akin to traditional SIMD (at any level of subdivision: 8-bit SIMD
947 operations carried out 32-bits at a time is perfectly acceptable, as is
948 8-bit SIMD operations carried out 16-bits at a time requiring two ALUs).
949 Regardless of the internal parallelism choice, *predication must
950 still be respected*, making Simple-V in effect the "consistent public API".
951
952 ### Example Instruction translation: <a name="example_translation"></a>
953
954 Instructions "ADD r2 r4 r4" would result in three instructions being
955 generated and placed into the FILO:
956
957 * ADD r2 r4 r4
958 * ADD r2 r5 r5
959 * ADD r2 r6 r6
960
961 ### Insights
962
963 SIMD register file splitting still to consider. For RV64, benefits of doubling
964 (quadrupling in the case of Half-Precision IEEE754 FP) the apparent
965 size of the floating point register file to 64 (128 in the case of HP)
966 seem pretty clear and worth the complexity.
967
968 64 virtual 32-bit F.P. registers and given that 32-bit FP operations are
969 done on 64-bit registers it's not so conceptually difficult.  May even
970 be achieved by *actually* splitting the regfile into 64 virtual 32-bit
971 registers such that a 64-bit FP scalar operation is dropped into (r0.H
972 r0.L) tuples.  Implementation therefore hidden through register renaming.
973
974 Implementations intending to introduce VLIW, OoO and parallelism
975 (even without Simple-V) would then find that the instructions are
976 generated quicker (or in a more compact fashion that is less heavy
977 on caches). Interestingly we observe then that Simple-V is about
978 "consolidation of instruction generation", where actual parallelism
979 of underlying hardware is an implementor-choice that could just as
980 equally be applied *without* Simple-V even being implemented.
981
982 ## Analysis of CSR decoding on latency <a name="csr_decoding_analysis"></a>
983
984 It could indeed have been logically deduced (or expected), that there
985 would be additional decode latency in this proposal, because if
986 overloading the opcodes to have different meanings, there is guaranteed
987 to be some state, some-where, directly related to registers.
988
989 There are several cases:
990
991 * All operands vector-length=1 (scalars), all operands
992 packed-bitwidth="default": instructions are passed through direct as if
993 Simple-V did not exist.  Simple-V is, in effect, completely disabled.
994 * At least one operand vector-length > 1, all operands
995 packed-bitwidth="default": any parallel vector ALUs placed on "alert",
996 virtual parallelism looping may be activated.
997 * All operands vector-length=1 (scalars), at least one
998 operand packed-bitwidth != default: degenerate case of SIMD,
999 implementation-specific complexity here (packed decode before ALUs or
1000 *IN* ALUs)
1001 * At least one operand vector-length > 1, at least one operand
1002 packed-bitwidth != default: parallel vector ALUs (if any)
1003 placed on "alert", virtual parallelsim looping may be activated,
1004 implementation-specific SIMD complexity kicks in (packed decode before
1005 ALUs or *IN* ALUs).
1006
1007 Bear in mind that the proposal includes that the decision whether
1008 to parallelise in hardware or whether to virtual-parallelise (to
1009 dramatically simplify compilers and also not to run into the SIMD
1010 instruction proliferation nightmare) *or* a transprent combination
1011 of both, be done on a *per-operand basis*, so that implementors can
1012 specifically choose to create an application-optimised implementation
1013 that they believe (or know) will sell extremely well, without having
1014 "Extra Standards-Mandated Baggage" that would otherwise blow their area
1015 or power budget completely out the window.
1016
1017 Additionally, two possible CSR schemes have been proposed, in order to
1018 greatly reduce CSR space:
1019
1020 * per-register CSRs (vector-length and packed-bitwidth)
1021 * a smaller number of CSRs with the same information but with an *INDEX*
1022 specifying WHICH register in one of three regfiles (vector, fp, int)
1023 the length and bitwidth applies to.
1024
1025 (See "CSR vector-length and CSR SIMD packed-bitwidth" section for details)
1026
1027 In addition, LOAD/STORE has its own associated proposed CSRs that
1028 mirror the STRIDE (but not yet STRIDE-SEGMENT?) functionality of
1029 V (and Hwacha).
1030
1031 Also bear in mind that, for reasons of simplicity for implementors,
1032 I was coming round to the idea of permitting implementors to choose
1033 exactly which bitwidths they would like to support in hardware and which
1034 to allow to fall through to software-trap emulation.
1035
1036 So the question boils down to:
1037
1038 * whether either (or both) of those two CSR schemes have significant
1039 latency that could even potentially require an extra pipeline decode stage
1040 * whether there are implementations that can be thought of which do *not*
1041 introduce significant latency
1042 * whether it is possible to explicitly (through quite simply
1043 disabling Simple-V-Ext) or implicitly (detect the case all-vlens=1,
1044 all-simd-bitwidths=default) switch OFF any decoding, perhaps even to
1045 the extreme of skipping an entire pipeline stage (if one is needed)
1046 * whether packed bitwidth and associated regfile splitting is so complex
1047 that it should definitely, definitely be made mandatory that implementors
1048 move regfile splitting into the ALU, and what are the implications of that
1049 * whether even if that *is* made mandatory, is software-trapped
1050 "unsupported bitwidths" still desirable, on the basis that SIMD is such
1051 a complete nightmare that *even* having a software implementation is
1052 better, making Simple-V have more in common with a software API than
1053 anything else.
1054
1055 Whilst the above may seem to be severe minuses, there are some strong
1056 pluses:
1057
1058 * Significant reduction of V's opcode space: over 85%.
1059 * Smaller reduction of P's opcode space: around 10%.
1060 * The potential to use Compressed instructions in both Vector and SIMD
1061 due to the overloading of register meaning (implicit vectorisation,
1062 implicit packing)
1063 * Not only present but also future extensions automatically gain parallelism.
1064 * Already mentioned but worth emphasising: the simplification to compiler
1065 writers and assembly-level writers of having the same consistent ISA
1066 regardless of whether the internal level of parallelism (number of
1067 parallel ALUs) is only equal to one ("virtual" parallelism), or is
1068 greater than one, should not be underestimated.
1069
1070 ## Reducing Register Bank porting
1071
1072 This looks quite reasonable.
1073 <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>
1074
1075 The main details are outlined on page 4.  They propose a 2-level register
1076 cache hierarchy, note that registers are typically only read once, that
1077 you never write back from upper to lower cache level but always go in a
1078 cycle lower -> upper -> ALU -> lower, and at the top of page 5 propose
1079 a scheme where you look ahead by only 2 instructions to determine which
1080 registers to bring into the cache.
1081
1082 The nice thing about a vector architecture is that you *know* that
1083 *even more* registers are going to be pulled in: Hwacha uses this fact
1084 to optimise L1/L2 cache-line usage (avoid thrashing), strangely enough
1085 by *introducing* deliberate latency into the execution phase.
1086
1087 # References
1088
1089 * SIMD considered harmful <https://www.sigarch.org/simd-instructions-considered-harmful/>
1090 * Link to first proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/GuukrSjgBH8>
1091 * Recommendation by Jacob Bachmeyer to make zero-overhead loop an
1092 "implicit program-counter" <https://groups.google.com/a/groups.riscv.org/d/msg/isa-dev/vYVi95gF2Mo/SHz6a4_lAgAJ>
1093 * Re-continuing P-Extension proposal <https://groups.google.com/a/groups.riscv.org/forum/#!msg/isa-dev/IkLkQn3HvXQ/SEMyC9IlAgAJ>
1094 * First Draft P-SIMD (DSP) proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/vYVi95gF2Mo>
1095 * B-Extension discussion <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/zi_7B15kj6s>
1096 * Broadcom VideoCore-IV <https://docs.broadcom.com/docs/12358545>
1097 Figure 2 P17 and Section 3 on P16.
1098 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-262.html>
1099 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-263.html>
1100 * Vector Workshop <http://riscv.org/wp-content/uploads/2015/06/riscv-vector-workshop-june2015.pdf>
1101 * Predication <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/XoP4BfYSLXA>
1102 * Branch Divergence <https://jbush001.github.io/2014/12/07/branch-divergence-in-parallel-kernels.html>
1103 * Life of Triangles (3D) <https://jbush001.github.io/2016/02/27/life-of-triangle.html>
1104 * Videocore-IV <https://github.com/hermanhermitage/videocoreiv/wiki/VideoCore-IV-3d-Graphics-Pipeline>
1105 * Discussion proposing CSRs that change ISA definition
1106 <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/InzQ1wr_3Ak>
1107 * Zero-overhead loops <https://pdfs.semanticscholar.org/dbaa/66985cc730d4b44d79f519e96ec9c43ab5b7.pdf>
1108 * Multi-ported VLIW Register File Implementation <https://ce-publications.et.tudelft.nl/publications/1517_multiple_contexts_in_a_multiported_vliw_register_file_impl.pdf>
1109 * Fast context save/restore proposal <https://groups.google.com/a/groups.riscv.org/d/msgid/isa-dev/57F823FA.6030701%40gmail.com>
1110 * Register File Bank Cacheing <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>