change to compile soc
[pinmux.git] / src / bsv / Makefile.template
1 ### Makefile for the cclass project
2
3 TOP_MODULE:=mkSoc
4 TOP_FILE:=soc.bsv
5 TOP_DIR:=./
6 WORKING_DIR := $(shell pwd)
7
8 BSVINCDIR:= .:%/Prelude:%/Libraries:%/Libraries/BlueNoC:./bsv_lib/
9 BSVINCDIR:= $(BSVINCDIR):../../../src/core/src/core
10 BSVINCDIR:= $(BSVINCDIR):../../../src/core/src/lib
11 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/core
12 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/uncore/axi4
13 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/uncore/axi4lite
14 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/lib
15 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/gpio
16 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/rgbttl
17 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/i2c
18 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/mux
19 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/plic
20 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/pwm
21 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/qspi
22 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/spi
23 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/sdmmc
24 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/flexbus
25 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/jtagdtm
26 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/uart
27
28 default: gen_verilog
29
30 check-blue:
31 @if test -z "$$BLUESPECDIR"; then echo "BLUESPECDIR variable not set"; exit 1; fi;
32
33 ###### Setting the variables for bluespec compile #$############################
34 BSVCOMPILEOPTS:= -check-assert -suppress-warnings G0020 -keep-fires -opt-undetermined-vals -remove-false-rules -remove-empty-rules -remove-starved-rules
35 BSVLINKOPTS:=-parallel-sim-link 8 -keep-fires
36 VERILOGDIR:=./verilog/
37 BSVBUILDDIR:=./bsv_build/
38 BSVOUTDIR:=./bin
39 ################################################################################
40
41 ########## BSIM COMPILE, LINK AND SIMULATE TARGETS ##########################
42 .PHONY: check-restore
43 check-restore:
44 @if [ "$(define_macros)" != "$(old_define_macros)" ]; then make clean ; fi;
45
46 .PHONY: gen_verilog
47 gen_verilog: check-restore check-blue
48 @echo Compiling mkTbSoc in Verilog for simulations ...
49 @mkdir -p $(BSVBUILDDIR);
50 @mkdir -p $(VERILOGDIR);
51 bsc -u -verilog -elab -vdir $(VERILOGDIR) -bdir $(BSVBUILDDIR) -info-dir $(BSVBUILDDIR) $(define_macros) -D verilog=True $(BSVCOMPILEOPTS) -verilog-filter ${BLUESPECDIR}/bin/basicinout -p $(BSVINCDIR) -g $(TOP_MODULE) $(TOP_DIR)/$(TOP_FILE) 2>&1 | tee bsv_compile.log
52 @echo Compilation finished
53
54 #############################################################################
55
56 .PHONY: clean
57 clean:
58 rm -rf $(BSVBUILDDIR) *.log $(BSVOUTDIR) ./bbl*
59 rm -rf verilog obj_dir bsv_src