997dab070ff6284e7ef0267f94263afb1aaab18c
[soc.git] / src / experiment / score6600.py
1 from nmigen.compat.sim import run_simulation
2 from nmigen.cli import verilog, rtlil
3 from nmigen import Module, Const, Signal, Array, Cat, Elaboratable
4
5 from regfile.regfile import RegFileArray, treereduce
6 from scoreboard.fu_fu_matrix import FUFUDepMatrix
7 from scoreboard.fu_reg_matrix import FURegDepMatrix
8 from scoreboard.global_pending import GlobalPending
9 from scoreboard.group_picker import GroupPicker
10 from scoreboard.issue_unit import IntFPIssueUnit, RegDecode
11 from scoreboard.shadow import ShadowMatrix, BranchSpeculationRecord
12
13 from compalu import ComputationUnitNoDelay
14
15 from alu_hier import ALU, BranchALU
16 from nmutil.latch import SRLatch
17
18 from random import randint, seed
19 from copy import deepcopy
20
21
22 class CompUnits(Elaboratable):
23
24 def __init__(self, rwid, n_units):
25 """ Inputs:
26
27 * :rwid: bit width of register file(s) - both FP and INT
28 * :n_units: number of ALUs
29
30 Note: bgt unit is returned so that a shadow unit can be created
31 for it
32
33 """
34 self.n_units = n_units
35 self.rwid = rwid
36
37 # inputs
38 self.issue_i = Signal(n_units, reset_less=True)
39 self.go_rd_i = Signal(n_units, reset_less=True)
40 self.go_wr_i = Signal(n_units, reset_less=True)
41 self.shadown_i = Signal(n_units, reset_less=True)
42 self.go_die_i = Signal(n_units, reset_less=True)
43
44 # outputs
45 self.busy_o = Signal(n_units, reset_less=True)
46 self.rd_rel_o = Signal(n_units, reset_less=True)
47 self.req_rel_o = Signal(n_units, reset_less=True)
48
49 # in/out register data (note: not register#, actual data)
50 self.dest_o = Signal(rwid, reset_less=True)
51 self.src1_data_i = Signal(rwid, reset_less=True)
52 self.src2_data_i = Signal(rwid, reset_less=True)
53
54 # Branch ALU and CU
55 self.bgt = BranchALU(self.rwid)
56 self.br1 = ComputationUnitNoDelay(self.rwid, 3, self.bgt)
57
58 def elaborate(self, platform):
59 m = Module()
60 comb = m.d.comb
61 sync = m.d.sync
62
63 # Int ALUs
64 add = ALU(self.rwid)
65 sub = ALU(self.rwid)
66 mul = ALU(self.rwid)
67 shf = ALU(self.rwid)
68 bgt = self.bgt
69
70 m.submodules.comp1 = comp1 = ComputationUnitNoDelay(self.rwid, 2, add)
71 m.submodules.comp2 = comp2 = ComputationUnitNoDelay(self.rwid, 2, sub)
72 m.submodules.comp3 = comp3 = ComputationUnitNoDelay(self.rwid, 2, mul)
73 m.submodules.comp4 = comp4 = ComputationUnitNoDelay(self.rwid, 2, shf)
74 m.submodules.br1 = br1 = self.br1
75 int_alus = [comp1, comp2, comp3, comp4, br1]
76
77 comb += comp1.oper_i.eq(Const(0, 2)) # op=add
78 comb += comp2.oper_i.eq(Const(1, 2)) # op=sub
79 comb += comp3.oper_i.eq(Const(2, 2)) # op=mul
80 comb += comp4.oper_i.eq(Const(3, 2)) # op=shf
81 comb += br1.oper_i.eq(Const(4, 3)) # op=bgt
82
83 go_rd_l = []
84 go_wr_l = []
85 issue_l = []
86 busy_l = []
87 req_rel_l = []
88 rd_rel_l = []
89 shadow_l = []
90 godie_l = []
91 for alu in int_alus:
92 req_rel_l.append(alu.req_rel_o)
93 rd_rel_l.append(alu.rd_rel_o)
94 shadow_l.append(alu.shadown_i)
95 godie_l.append(alu.go_die_i)
96 go_wr_l.append(alu.go_wr_i)
97 go_rd_l.append(alu.go_rd_i)
98 issue_l.append(alu.issue_i)
99 busy_l.append(alu.busy_o)
100 comb += self.rd_rel_o.eq(Cat(*rd_rel_l))
101 comb += self.req_rel_o.eq(Cat(*req_rel_l))
102 comb += self.busy_o.eq(Cat(*busy_l))
103 comb += Cat(*godie_l).eq(self.go_die_i)
104 comb += Cat(*shadow_l).eq(self.shadown_i)
105 comb += Cat(*go_wr_l).eq(self.go_wr_i)
106 comb += Cat(*go_rd_l).eq(self.go_rd_i)
107 comb += Cat(*issue_l).eq(self.issue_i)
108
109 # connect data register input/output
110
111 # merge (OR) all integer FU / ALU outputs to a single value
112 # bit of a hack: treereduce needs a list with an item named "dest_o"
113 dest_o = treereduce(int_alus)
114 comb += self.dest_o.eq(dest_o)
115
116 for i, alu in enumerate(int_alus):
117 comb += alu.src1_i.eq(self.src1_data_i)
118 comb += alu.src2_i.eq(self.src2_data_i)
119
120 return m
121
122
123 class FunctionUnits(Elaboratable):
124
125 def __init__(self, n_regs, n_int_alus):
126 self.n_regs = n_regs
127 self.n_int_alus = n_int_alus
128
129 self.dest_i = Signal(n_regs, reset_less=True) # Dest R# in
130 self.src1_i = Signal(n_regs, reset_less=True) # oper1 R# in
131 self.src2_i = Signal(n_regs, reset_less=True) # oper2 R# in
132
133 self.g_int_rd_pend_o = Signal(n_regs, reset_less=True)
134 self.g_int_wr_pend_o = Signal(n_regs, reset_less=True)
135
136 self.dest_rsel_o = Signal(n_regs, reset_less=True) # dest reg (bot)
137 self.src1_rsel_o = Signal(n_regs, reset_less=True) # src1 reg (bot)
138 self.src2_rsel_o = Signal(n_regs, reset_less=True) # src2 reg (bot)
139
140 self.req_rel_i = Signal(n_int_alus, reset_less = True)
141 self.readable_o = Signal(n_int_alus, reset_less=True)
142 self.writable_o = Signal(n_int_alus, reset_less=True)
143
144 self.go_rd_i = Signal(n_int_alus, reset_less=True)
145 self.go_wr_i = Signal(n_int_alus, reset_less=True)
146 self.go_die_i = Signal(n_int_alus, reset_less=True)
147 self.req_rel_o = Signal(n_int_alus, reset_less=True)
148 self.fn_issue_i = Signal(n_int_alus, reset_less=True)
149
150 # Note: FURegs wr_pend_o is also outputted from here, for use in WaWGrid
151
152 def elaborate(self, platform):
153 m = Module()
154 comb = m.d.comb
155 sync = m.d.sync
156
157 n_int_fus = self.n_int_alus
158
159 # Integer FU-FU Dep Matrix
160 intfudeps = FUFUDepMatrix(n_int_fus, n_int_fus)
161 m.submodules.intfudeps = intfudeps
162 # Integer FU-Reg Dep Matrix
163 intregdeps = FURegDepMatrix(n_int_fus, self.n_regs)
164 m.submodules.intregdeps = intregdeps
165
166 comb += self.g_int_rd_pend_o.eq(intregdeps.rd_rsel_o)
167 comb += self.g_int_wr_pend_o.eq(intregdeps.wr_rsel_o)
168
169 comb += intregdeps.rd_pend_i.eq(intregdeps.rd_rsel_o)
170 comb += intregdeps.wr_pend_i.eq(intregdeps.wr_rsel_o)
171
172 comb += intfudeps.rd_pend_i.eq(intregdeps.rd_pend_o)
173 comb += intfudeps.wr_pend_i.eq(intregdeps.wr_pend_o)
174 self.wr_pend_o = intregdeps.wr_pend_o # also output for use in WaWGrid
175
176 comb += intfudeps.issue_i.eq(self.fn_issue_i)
177 comb += intfudeps.go_rd_i.eq(self.go_rd_i)
178 comb += intfudeps.go_wr_i.eq(self.go_wr_i)
179 comb += intfudeps.go_die_i.eq(self.go_die_i)
180 comb += self.readable_o.eq(intfudeps.readable_o)
181 comb += self.writable_o.eq(intfudeps.writable_o)
182
183 # Connect function issue / arrays, and dest/src1/src2
184 comb += intregdeps.dest_i.eq(self.dest_i)
185 comb += intregdeps.src1_i.eq(self.src1_i)
186 comb += intregdeps.src2_i.eq(self.src2_i)
187
188 comb += intregdeps.go_rd_i.eq(self.go_rd_i)
189 comb += intregdeps.go_wr_i.eq(self.go_wr_i)
190 comb += intregdeps.go_die_i.eq(self.go_die_i)
191 comb += intregdeps.issue_i.eq(self.fn_issue_i)
192
193 comb += self.dest_rsel_o.eq(intregdeps.dest_rsel_o)
194 comb += self.src1_rsel_o.eq(intregdeps.src1_rsel_o)
195 comb += self.src2_rsel_o.eq(intregdeps.src2_rsel_o)
196
197 return m
198
199
200 class Scoreboard(Elaboratable):
201 def __init__(self, rwid, n_regs):
202 """ Inputs:
203
204 * :rwid: bit width of register file(s) - both FP and INT
205 * :n_regs: depth of register file(s) - number of FP and INT regs
206 """
207 self.rwid = rwid
208 self.n_regs = n_regs
209
210 # Register Files
211 self.intregs = RegFileArray(rwid, n_regs)
212 self.fpregs = RegFileArray(rwid, n_regs)
213
214 # inputs
215 self.int_store_i = Signal(reset_less=True) # instruction is a store
216 self.int_dest_i = Signal(max=n_regs, reset_less=True) # Dest R# in
217 self.int_src1_i = Signal(max=n_regs, reset_less=True) # oper1 R# in
218 self.int_src2_i = Signal(max=n_regs, reset_less=True) # oper2 R# in
219 self.reg_enable_i = Signal(reset_less=True) # enable reg decode
220
221 # outputs
222 self.issue_o = Signal(reset_less=True) # instruction was accepted
223 self.busy_o = Signal(reset_less=True) # at least one CU is busy
224
225 # for branch speculation experiment. branch_direction = 0 if
226 # the branch hasn't been met yet. 1 indicates "success", 2 is "fail"
227 # branch_succ and branch_fail are requests to have the current
228 # instruction be dependent on the branch unit "shadow" capability.
229 self.branch_succ_i = Signal(reset_less=True)
230 self.branch_fail_i = Signal(reset_less=True)
231 self.branch_direction_o = Signal(2, reset_less=True)
232
233 def elaborate(self, platform):
234 m = Module()
235 comb = m.d.comb
236 sync = m.d.sync
237
238 m.submodules.intregs = self.intregs
239 m.submodules.fpregs = self.fpregs
240
241 # register ports
242 int_dest = self.intregs.write_port("dest")
243 int_src1 = self.intregs.read_port("src1")
244 int_src2 = self.intregs.read_port("src2")
245
246 fp_dest = self.fpregs.write_port("dest")
247 fp_src1 = self.fpregs.read_port("src1")
248 fp_src2 = self.fpregs.read_port("src2")
249
250 # Int ALUs and Comp Units
251 n_int_alus = 5
252 m.submodules.cu = cu = CompUnits(self.rwid, n_int_alus)
253 comb += cu.go_die_i.eq(0)
254 bgt = cu.bgt # get at the branch computation unit
255
256 # Int FUs
257 m.submodules.intfus = intfus = FunctionUnits(self.n_regs, n_int_alus)
258
259 # Count of number of FUs
260 n_int_fus = n_int_alus
261 n_fp_fus = 0 # for now
262
263 # Integer Priority Picker 1: Adder + Subtractor
264 intpick1 = GroupPicker(n_int_fus) # picks between add, sub, mul and shf
265 m.submodules.intpick1 = intpick1
266
267 # INT/FP Issue Unit
268 regdecode = RegDecode(self.n_regs)
269 m.submodules.regdecode = regdecode
270 issueunit = IntFPIssueUnit(self.n_regs, n_int_fus, n_fp_fus)
271 m.submodules.issueunit = issueunit
272
273 # Shadow Matrix. currently n_int_fus shadows, to be used for
274 # write-after-write hazards. NOTE: there is one extra for branches,
275 # so the shadow width is increased by 1
276 m.submodules.shadows = shadows = ShadowMatrix(n_int_fus, n_int_fus, True)
277 m.submodules.bshadow = bshadow = ShadowMatrix(n_int_fus, 1, False)
278
279 # record previous instruction to cast shadow on current instruction
280 fn_issue_prev = Signal(n_int_fus)
281 prev_shadow = Signal(n_int_fus)
282
283 # Branch Speculation recorder. tracks the success/fail state as
284 # each instruction is issued, so that when the branch occurs the
285 # allow/cancel can be issued as appropriate.
286 m.submodules.specrec = bspec = BranchSpeculationRecord(n_int_fus)
287
288 #---------
289 # ok start wiring things together...
290 # "now hear de word of de looord... dem bones dem bones dem dryy bones"
291 # https://www.youtube.com/watch?v=pYb8Wm6-QfA
292 #---------
293
294 #---------
295 # Issue Unit is where it starts. set up some in/outs for this module
296 #---------
297 comb += [issueunit.i.store_i.eq(self.int_store_i),
298 regdecode.dest_i.eq(self.int_dest_i),
299 regdecode.src1_i.eq(self.int_src1_i),
300 regdecode.src2_i.eq(self.int_src2_i),
301 regdecode.enable_i.eq(self.reg_enable_i),
302 issueunit.i.dest_i.eq(regdecode.dest_o),
303 self.issue_o.eq(issueunit.issue_o)
304 ]
305 self.int_insn_i = issueunit.i.insn_i # enabled by instruction decode
306
307 # connect global rd/wr pending vector (for WaW detection)
308 sync += issueunit.i.g_wr_pend_i.eq(intfus.g_int_wr_pend_o)
309 # TODO: issueunit.f (FP)
310
311 # and int function issue / busy arrays, and dest/src1/src2
312 comb += intfus.dest_i.eq(regdecode.dest_o)
313 comb += intfus.src1_i.eq(regdecode.src1_o)
314 comb += intfus.src2_i.eq(regdecode.src2_o)
315
316 fn_issue_o = issueunit.i.fn_issue_o
317
318 comb += intfus.fn_issue_i.eq(fn_issue_o)
319 comb += issueunit.i.busy_i.eq(cu.busy_o)
320 comb += self.busy_o.eq(cu.busy_o.bool())
321
322 #---------
323 # merge shadow matrices outputs
324 #---------
325
326 # these are explained in ShadowMatrix docstring, and are to be
327 # connected to the FUReg and FUFU Matrices, to get them to reset
328 anydie = Signal(n_int_fus, reset_less=True)
329 allshadown = Signal(n_int_fus, reset_less=True)
330 shreset = Signal(n_int_fus, reset_less=True)
331 comb += allshadown.eq(shadows.shadown_o & bshadow.shadown_o)
332 comb += anydie.eq(shadows.go_die_o | bshadow.go_die_o)
333 comb += shreset.eq(bspec.match_g_o | bspec.match_f_o)
334
335 #---------
336 # connect fu-fu matrix
337 #---------
338
339 # Group Picker... done manually for now.
340 go_rd_o = intpick1.go_rd_o
341 go_wr_o = intpick1.go_wr_o
342 go_rd_i = intfus.go_rd_i
343 go_wr_i = intfus.go_wr_i
344 go_die_i = intfus.go_die_i
345 # NOTE: connect to the shadowed versions so that they can "die" (reset)
346 comb += go_rd_i[0:n_int_fus].eq(go_rd_o[0:n_int_fus]) # rd
347 comb += go_wr_i[0:n_int_fus].eq(go_wr_o[0:n_int_fus]) # wr
348 comb += go_die_i[0:n_int_fus].eq(anydie[0:n_int_fus]) # die
349
350 # Connect Picker
351 #---------
352 comb += intpick1.rd_rel_i[0:n_int_fus].eq(cu.rd_rel_o[0:n_int_fus])
353 comb += intpick1.req_rel_i[0:n_int_fus].eq(cu.req_rel_o[0:n_int_fus])
354 int_rd_o = intfus.readable_o
355 int_wr_o = intfus.writable_o
356 comb += intpick1.readable_i[0:n_int_fus].eq(int_rd_o[0:n_int_fus])
357 comb += intpick1.writable_i[0:n_int_fus].eq(int_wr_o[0:n_int_fus])
358
359 #---------
360 # Shadow Matrix
361 #---------
362
363 comb += shadows.issue_i.eq(fn_issue_o)
364 #comb += shadows.reset_i[0:n_int_fus].eq(bshadow.go_die_o[0:n_int_fus])
365 comb += shadows.reset_i[0:n_int_fus].eq(bshadow.go_die_o[0:n_int_fus])
366 #---------
367 # NOTE; this setup is for the instruction order preservation...
368
369 # connect shadows / go_dies to Computation Units
370 comb += cu.shadown_i[0:n_int_fus].eq(allshadown)
371 comb += cu.go_die_i[0:n_int_fus].eq(anydie)
372
373 # ok connect first n_int_fu shadows to busy lines, to create an
374 # instruction-order linked-list-like arrangement, using a bit-matrix
375 # (instead of e.g. a ring buffer).
376 # XXX TODO
377
378 # when written, the shadow can be cancelled (and was good)
379 for i in range(n_int_fus):
380 comb += shadows.s_good_i[i][0:n_int_fus].eq(go_wr_o[0:n_int_fus])
381
382 # work out the current-activated busy unit (by recording the old one)
383 with m.If(fn_issue_o): # only update prev bit if instruction issued
384 sync += fn_issue_prev.eq(fn_issue_o)
385
386 # *previous* instruction shadows *current* instruction, and, obviously,
387 # if the previous is completed (!busy) don't cast the shadow!
388 comb += prev_shadow.eq(~fn_issue_o & cu.busy_o)
389 for i in range(n_int_fus):
390 comb += shadows.shadow_i[i][0:n_int_fus].eq(prev_shadow)
391
392 #---------
393 # ... and this is for branch speculation. it uses the extra bit
394 # tacked onto the ShadowMatrix (hence shadow_wid=n_int_fus+1)
395 # only needs to set shadow_i, s_fail_i and s_good_i
396
397 # issue captures shadow_i (if enabled)
398 comb += bshadow.reset_i[0:n_int_fus].eq(shreset[0:n_int_fus])
399
400 bactive = Signal(reset_less=True)
401 comb += bactive.eq((bspec.active_i | cu.br1.issue_i) & ~cu.br1.go_wr_i)
402
403 # instruction being issued (fn_issue_o) has a shadow cast by the branch
404 with m.If(bactive & (self.branch_succ_i | self.branch_fail_i)):
405 comb += bshadow.issue_i.eq(fn_issue_o)
406 for i in range(n_int_fus):
407 with m.If(fn_issue_o & (Const(1<<i))):
408 comb += bshadow.shadow_i[i][0].eq(1)
409
410 # finally, we need an indicator to the test infrastructure as to
411 # whether the branch succeeded or failed, plus, link up to the
412 # "recorder" of whether the instruction was under shadow or not
413
414 with m.If(cu.br1.issue_i):
415 sync += bspec.active_i.eq(1)
416 with m.If(self.branch_succ_i):
417 comb += bspec.good_i.eq(fn_issue_o & 0x1f)
418 with m.If(self.branch_fail_i):
419 comb += bspec.fail_i.eq(fn_issue_o & 0x1f)
420
421 # branch is active (TODO: a better signal: this is over-using the
422 # go_write signal - actually the branch should not be "writing")
423 with m.If(cu.br1.go_wr_i):
424 sync += self.branch_direction_o.eq(cu.br1.data_o+Const(1, 2))
425 sync += bspec.active_i.eq(0)
426 comb += bspec.br_i.eq(1)
427 # branch occurs if data == 1, failed if data == 0
428 comb += bspec.br_ok_i.eq(cu.br1.data_o == 1)
429 for i in range(n_int_fus):
430 # *expected* direction of the branch matched against *actual*
431 comb += bshadow.s_good_i[i][0].eq(bspec.match_g_o[i])
432 # ... or it didn't
433 comb += bshadow.s_fail_i[i][0].eq(bspec.match_f_o[i])
434
435 #---------
436 # Connect Register File(s)
437 #---------
438 print ("intregdeps wen len", len(intfus.dest_rsel_o))
439 comb += int_dest.wen.eq(intfus.dest_rsel_o)
440 comb += int_src1.ren.eq(intfus.src1_rsel_o)
441 comb += int_src2.ren.eq(intfus.src2_rsel_o)
442
443 # connect ALUs to regfule
444 comb += int_dest.data_i.eq(cu.dest_o)
445 comb += cu.src1_data_i.eq(int_src1.data_o)
446 comb += cu.src2_data_i.eq(int_src2.data_o)
447
448 # connect ALU Computation Units
449 comb += cu.go_rd_i[0:n_int_fus].eq(go_rd_o[0:n_int_fus])
450 comb += cu.go_wr_i[0:n_int_fus].eq(go_wr_o[0:n_int_fus])
451 comb += cu.issue_i[0:n_int_fus].eq(fn_issue_o[0:n_int_fus])
452
453 return m
454
455
456 def __iter__(self):
457 yield from self.intregs
458 yield from self.fpregs
459 yield self.int_store_i
460 yield self.int_dest_i
461 yield self.int_src1_i
462 yield self.int_src2_i
463 yield self.issue_o
464 yield self.branch_succ_i
465 yield self.branch_fail_i
466 yield self.branch_direction_o
467
468 def ports(self):
469 return list(self)
470
471 IADD = 0
472 ISUB = 1
473 IMUL = 2
474 ISHF = 3
475 IBGT = 4
476 IBLT = 5
477 IBEQ = 6
478 IBNE = 7
479
480 class RegSim:
481 def __init__(self, rwidth, nregs):
482 self.rwidth = rwidth
483 self.regs = [0] * nregs
484
485 def op(self, op, src1, src2, dest):
486 maxbits = (1 << self.rwidth) - 1
487 src1 = self.regs[src1] & maxbits
488 src2 = self.regs[src2] & maxbits
489 if op == IADD:
490 val = src1 + src2
491 elif op == ISUB:
492 val = src1 - src2
493 elif op == IMUL:
494 val = src1 * src2
495 elif op == ISHF:
496 val = src1 >> (src2 & maxbits)
497 elif op == IBGT:
498 val = int(src1 > src2)
499 elif op == IBLT:
500 val = int(src1 < src2)
501 elif op == IBEQ:
502 val = int(src1 == src2)
503 elif op == IBNE:
504 val = int(src1 != src2)
505 val &= maxbits
506 self.setval(dest, val)
507 return val
508
509 def setval(self, dest, val):
510 print ("sim setval", dest, hex(val))
511 self.regs[dest] = val
512
513 def dump(self, dut):
514 for i, val in enumerate(self.regs):
515 reg = yield dut.intregs.regs[i].reg
516 okstr = "OK" if reg == val else "!ok"
517 print("reg %d expected %x received %x %s" % (i, val, reg, okstr))
518
519 def check(self, dut):
520 for i, val in enumerate(self.regs):
521 reg = yield dut.intregs.regs[i].reg
522 if reg != val:
523 print("reg %d expected %x received %x\n" % (i, val, reg))
524 yield from self.dump(dut)
525 assert False
526
527 def int_instr(dut, op, src1, src2, dest, branch_success, branch_fail):
528 for i in range(len(dut.int_insn_i)):
529 yield dut.int_insn_i[i].eq(0)
530 yield dut.int_dest_i.eq(dest)
531 yield dut.int_src1_i.eq(src1)
532 yield dut.int_src2_i.eq(src2)
533 yield dut.int_insn_i[op].eq(1)
534 yield dut.reg_enable_i.eq(1)
535
536 # these indicate that the instruction is to be made shadow-dependent on
537 # (either) branch success or branch fail
538 yield dut.branch_fail_i.eq(branch_fail)
539 yield dut.branch_succ_i.eq(branch_success)
540
541
542 def print_reg(dut, rnums):
543 rs = []
544 for rnum in rnums:
545 reg = yield dut.intregs.regs[rnum].reg
546 rs.append("%x" % reg)
547 rnums = map(str, rnums)
548 print ("reg %s: %s" % (','.join(rnums), ','.join(rs)))
549
550
551 def create_random_ops(dut, n_ops, shadowing=False, max_opnums=3):
552 insts = []
553 for i in range(n_ops):
554 src1 = randint(1, dut.n_regs-1)
555 src2 = randint(1, dut.n_regs-1)
556 dest = randint(1, dut.n_regs-1)
557 op = randint(0, max_opnums)
558
559 if shadowing:
560 insts.append((src1, src2, dest, op, (0, 0)))
561 else:
562 insts.append((src1, src2, dest, op))
563 return insts
564
565
566 def wait_for_busy_clear(dut):
567 while True:
568 busy_o = yield dut.busy_o
569 if not busy_o:
570 break
571 print ("busy",)
572 yield
573
574
575 def wait_for_issue(dut):
576 while True:
577 issue_o = yield dut.issue_o
578 if issue_o:
579 for i in range(len(dut.int_insn_i)):
580 yield dut.int_insn_i[i].eq(0)
581 yield dut.reg_enable_i.eq(0)
582 break
583 #print ("busy",)
584 #yield from print_reg(dut, [1,2,3])
585 yield
586 #yield from print_reg(dut, [1,2,3])
587
588 def scoreboard_branch_sim(dut, alusim):
589
590 iseed = 3
591
592 yield dut.int_store_i.eq(1)
593
594 for i in range(1):
595
596 print ("rseed", iseed)
597 seed(iseed)
598 iseed += 1
599
600 yield dut.branch_direction_o.eq(0)
601
602 # set random values in the registers
603 for i in range(1, dut.n_regs):
604 val = 31+i*3
605 val = randint(0, (1<<alusim.rwidth)-1)
606 yield dut.intregs.regs[i].reg.eq(val)
607 alusim.setval(i, val)
608
609 if False:
610 # create some instructions: branches create a tree
611 insts = create_random_ops(dut, 1, True, 1)
612 #insts.append((6, 6, 1, 2, (0, 0)))
613 #insts.append((4, 3, 3, 0, (0, 0)))
614
615 src1 = randint(1, dut.n_regs-1)
616 src2 = randint(1, dut.n_regs-1)
617 #op = randint(4, 7)
618 op = 4 # only BGT at the moment
619
620 branch_ok = create_random_ops(dut, 1, True, 1)
621 branch_fail = create_random_ops(dut, 1, True, 1)
622
623 insts.append((src1, src2, (branch_ok, branch_fail), op, (0, 0)))
624
625 if True:
626 insts = []
627 #insts.append( (3, 5, 2, 0, (0, 0)) )
628 branch_ok = []
629 branch_fail = []
630 branch_ok.append ( (5, 7, 5, 1, (1, 0)) )
631 #branch_ok.append( None )
632 branch_fail.append( (1, 1, 2, 0, (0, 1)) )
633 #branch_fail.append( None )
634 insts.append( (6, 4, (branch_ok, branch_fail), 4, (0, 0)) )
635
636 siminsts = deepcopy(insts)
637
638 # issue instruction(s)
639 i = -1
640 instrs = insts
641 branch_direction = 0
642 while instrs:
643 yield
644 yield
645 i += 1
646 branch_direction = yield dut.branch_direction_o # way branch went
647 (src1, src2, dest, op, (shadow_on, shadow_off)) = insts.pop(0)
648 if branch_direction == 1 and shadow_on:
649 print ("skip", i, src1, src2, dest, op, shadow_on, shadow_off)
650 continue # branch was "success" and this is a "failed"... skip
651 if branch_direction == 2 and shadow_off:
652 print ("skip", i, src1, src2, dest, op, shadow_on, shadow_off)
653 continue # branch was "fail" and this is a "success"... skip
654 if branch_direction != 0:
655 shadow_on = 0
656 shadow_off = 0
657 is_branch = op >= 4
658 if is_branch:
659 branch_ok, branch_fail = dest
660 dest = src2
661 # ok zip up the branch success / fail instructions and
662 # drop them into the queue, one marked "to have branch success"
663 # the other to be marked shadow branch "fail".
664 # one out of each of these will be cancelled
665 for ok, fl in zip(branch_ok, branch_fail):
666 if ok:
667 instrs.append((ok[0], ok[1], ok[2], ok[3], (1, 0)))
668 if fl:
669 instrs.append((fl[0], fl[1], fl[2], fl[3], (0, 1)))
670 print ("instr %d: (%d, %d, %d, %d, (%d, %d))" % \
671 (i, src1, src2, dest, op, shadow_on, shadow_off))
672 yield from int_instr(dut, op, src1, src2, dest,
673 shadow_on, shadow_off)
674 yield
675 yield from wait_for_issue(dut)
676
677 # wait for all instructions to stop before checking
678 yield
679 yield from wait_for_busy_clear(dut)
680
681 i = -1
682 while siminsts:
683 instr = siminsts.pop(0)
684 if instr is None:
685 continue
686 (src1, src2, dest, op, (shadow_on, shadow_off)) = instr
687 i += 1
688 is_branch = op >= 4
689 if is_branch:
690 branch_ok, branch_fail = dest
691 dest = src2
692 print ("sim %d: (%d, %d, %d, %d, (%d, %d))" % \
693 (i, src1, src2, dest, op, shadow_on, shadow_off))
694 branch_res = alusim.op(op, src1, src2, dest)
695 if is_branch:
696 if branch_res:
697 siminsts += branch_ok
698 else:
699 siminsts += branch_fail
700
701 # check status
702 yield from alusim.check(dut)
703 yield from alusim.dump(dut)
704
705
706 def scoreboard_sim(dut, alusim):
707
708 seed(0)
709
710 yield dut.int_store_i.eq(1)
711
712 for i in range(1):
713
714 # set random values in the registers
715 for i in range(1, dut.n_regs):
716 val = 31+i*3
717 val = randint(0, (1<<alusim.rwidth)-1)
718 yield dut.intregs.regs[i].reg.eq(val)
719 alusim.setval(i, val)
720
721 # create some instructions (some random, some regression tests)
722 instrs = []
723 if False:
724 instrs = create_random_ops(dut, 10, True, 4)
725
726 if False:
727 instrs.append((2, 3, 3, 0))
728 instrs.append((5, 3, 3, 1))
729
730 if False:
731 instrs.append((5, 6, 2, 1))
732 instrs.append((2, 2, 4, 0))
733 #instrs.append((2, 2, 3, 1))
734
735 if False:
736 instrs.append((2, 1, 2, 3))
737
738 if False:
739 instrs.append((2, 6, 2, 1))
740 instrs.append((2, 1, 2, 0))
741
742 if False:
743 instrs.append((1, 2, 7, 2))
744 instrs.append((7, 1, 5, 0))
745 instrs.append((4, 4, 1, 1))
746
747 if False:
748 instrs.append((5, 6, 2, 2))
749 instrs.append((1, 1, 4, 1))
750 instrs.append((6, 5, 3, 0))
751
752 if False:
753 # Write-after-Write Hazard
754 instrs.append( (3, 6, 7, 2) )
755 instrs.append( (4, 4, 7, 1) )
756
757 if False:
758 # self-read/write-after-write followed by Read-after-Write
759 instrs.append((1, 1, 1, 1))
760 instrs.append((1, 5, 3, 0))
761
762 if False:
763 # Read-after-Write followed by self-read-after-write
764 instrs.append((5, 6, 1, 2))
765 instrs.append((1, 1, 1, 1))
766
767 if False:
768 # self-read-write sandwich
769 instrs.append((5, 6, 1, 2))
770 instrs.append((1, 1, 1, 1))
771 instrs.append((1, 5, 3, 0))
772
773 if False:
774 # very weird failure
775 instrs.append( (5, 2, 5, 2) )
776 instrs.append( (2, 6, 3, 0) )
777 instrs.append( (4, 2, 2, 1) )
778
779 if False:
780 v1 = 4
781 yield dut.intregs.regs[5].reg.eq(v1)
782 alusim.setval(5, v1)
783 yield dut.intregs.regs[3].reg.eq(5)
784 alusim.setval(3, 5)
785 instrs.append((5, 3, 3, 4, (0, 0)))
786 instrs.append((4, 2, 1, 2, (0, 1)))
787
788 if False:
789 v1 = 6
790 yield dut.intregs.regs[5].reg.eq(v1)
791 alusim.setval(5, v1)
792 yield dut.intregs.regs[3].reg.eq(5)
793 alusim.setval(3, 5)
794 instrs.append((5, 3, 3, 4, (0, 0)))
795 instrs.append((4, 2, 1, 2, (1, 0)))
796
797 if True:
798 instrs.append( (4, 3, 5, 1, (0, 0)) )
799 instrs.append( (5, 2, 3, 1, (0, 0)) )
800 instrs.append( (7, 1, 5, 2, (0, 0)) )
801 instrs.append( (5, 6, 6, 4, (0, 0)) )
802 instrs.append( (7, 5, 2, 2, (1, 0)) )
803 instrs.append( (1, 7, 5, 0, (0, 1)) )
804 instrs.append( (1, 6, 1, 2, (1, 0)) )
805 instrs.append( (1, 6, 7, 3, (0, 0)) )
806 instrs.append( (6, 7, 7, 0, (0, 0)) )
807
808 # issue instruction(s), wait for issue to be free before proceeding
809 for i, (src1, src2, dest, op, (br_ok, br_fail)) in enumerate(instrs):
810
811 print ("instr %d: (%d, %d, %d, %d)" % (i, src1, src2, dest, op))
812 alusim.op(op, src1, src2, dest)
813 yield from int_instr(dut, op, src1, src2, dest, br_ok, br_fail)
814 yield
815 yield from wait_for_issue(dut)
816
817 # wait for all instructions to stop before checking
818 yield
819 yield from wait_for_busy_clear(dut)
820
821 # check status
822 yield from alusim.check(dut)
823 yield from alusim.dump(dut)
824
825
826 def test_scoreboard():
827 dut = Scoreboard(16, 8)
828 alusim = RegSim(16, 8)
829 vl = rtlil.convert(dut, ports=dut.ports())
830 with open("test_scoreboard6600.il", "w") as f:
831 f.write(vl)
832
833 run_simulation(dut, scoreboard_sim(dut, alusim),
834 vcd_name='test_scoreboard6600.vcd')
835
836 #run_simulation(dut, scoreboard_branch_sim(dut, alusim),
837 # vcd_name='test_scoreboard6600.vcd')
838
839
840 if __name__ == '__main__':
841 test_scoreboard()