932f6b0844b2ef08549fcbf4345ef3f1f6433e2d
[ieee754fpu.git] / src / ieee754 / fpdiv / specialcases.py
1 # IEEE Floating Point Multiplier
2
3 from nmigen import Module, Signal, Cat, Const, Elaboratable
4 from nmigen.cli import main, verilog
5 from math import log
6
7 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
8 from nmutil.singlepipe import SimpleHandshake, StageChain
9
10 from ieee754.fpcommon.fpbase import FPState, FPID
11 from ieee754.fpcommon.getop import FPADDBaseData
12 from ieee754.fpcommon.denorm import (FPSCData, FPAddDeNormMod)
13 from ieee754.fpmul.align import FPAlignModSingle
14
15
16 class FPDIVSpecialCasesMod(Elaboratable):
17 """ special cases: NaNs, infs, zeros, denormalised
18 see "Special Operations"
19 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
20 """
21
22 def __init__(self, pspec):
23 self.pspec = pspec
24 self.i = self.ispec()
25 self.o = self.ospec()
26
27 def ispec(self):
28 return FPADDBaseData(self.pspec)
29
30 def ospec(self):
31 return FPSCData(self.pspec, False)
32
33 def setup(self, m, i):
34 """ links module to inputs and outputs
35 """
36 m.submodules.specialcases = self
37 m.d.comb += self.i.eq(i)
38
39 def process(self, i):
40 return self.o
41
42 def elaborate(self, platform):
43 m = Module()
44
45 #m.submodules.sc_out_z = self.o.z
46
47 # decode: XXX really should move to separate stage
48 a1 = FPNumBaseRecord(self.pspec.width, False, name="a1")
49 b1 = FPNumBaseRecord(self.pspec.width, False, name="b1")
50 m.submodules.sc_decode_a = a1 = FPNumDecode(None, a1)
51 m.submodules.sc_decode_b = b1 = FPNumDecode(None, b1)
52 m.d.comb += [a1.v.eq(self.i.a),
53 b1.v.eq(self.i.b),
54 self.o.a.eq(a1),
55 self.o.b.eq(b1)
56 ]
57
58 sabx = Signal(reset_less=True) # sign a xor b (sabx, get it?)
59 m.d.comb += sabx.eq(a1.s ^ b1.s)
60
61 abnan = Signal(reset_less=True)
62 m.d.comb += abnan.eq(a1.is_nan | b1.is_nan)
63
64 abinf = Signal(reset_less=True)
65 m.d.comb += abinf.eq(a1.is_inf & b1.is_inf)
66
67 with m.If(self.i.ctx.op == 0): # DIV
68 # if a is NaN or b is NaN return NaN
69 with m.If(abnan):
70 m.d.comb += self.o.out_do_z.eq(1)
71 m.d.comb += self.o.z.nan(0)
72
73 # if a is inf and b is Inf return NaN
74 with m.Elif(abinf):
75 m.d.comb += self.o.out_do_z.eq(1)
76 m.d.comb += self.o.z.nan(0)
77
78 # if a is inf return inf
79 with m.Elif(a1.is_inf):
80 m.d.comb += self.o.out_do_z.eq(1)
81 m.d.comb += self.o.z.inf(sabx)
82
83 # if b is inf return zero
84 with m.Elif(b1.is_inf):
85 m.d.comb += self.o.out_do_z.eq(1)
86 m.d.comb += self.o.z.zero(sabx)
87
88 # if a is zero return zero (or NaN if b is zero)
89 with m.Elif(a1.is_zero):
90 m.d.comb += self.o.out_do_z.eq(1)
91 m.d.comb += self.o.z.zero(sabx)
92 # b is zero return NaN
93 with m.If(b1.is_zero):
94 m.d.comb += self.o.z.nan(0)
95
96 # if b is zero return Inf
97 with m.Elif(b1.is_zero):
98 m.d.comb += self.o.out_do_z.eq(1)
99 m.d.comb += self.o.z.inf(sabx)
100
101 # Denormalised Number checks next, so pass a/b data through
102 with m.Else():
103 m.d.comb += self.o.out_do_z.eq(0)
104
105 with m.If(self.i.ctx.op == 1): # SQRT
106
107 # if a is zero return zero
108 with m.If(a1.is_zero):
109 m.d.comb += self.o.out_do_z.eq(1)
110 m.d.comb += self.o.z.zero(a1.s)
111
112 # -ve number is NaN
113 with m.Elif(a1.s):
114 m.d.comb += self.o.out_do_z.eq(1)
115 m.d.comb += self.o.z.nan(0)
116
117 # if a is inf return inf
118 with m.Elif(a1.is_inf):
119 m.d.comb += self.o.out_do_z.eq(1)
120 m.d.comb += self.o.z.inf(sabx)
121
122 # if a is NaN return NaN
123 with m.Elif(a1.is_nan):
124 m.d.comb += self.o.out_do_z.eq(1)
125 m.d.comb += self.o.z.nan(0)
126
127 # Denormalised Number checks next, so pass a/b data through
128 with m.Else():
129 m.d.comb += self.o.out_do_z.eq(0)
130
131 with m.If(self.i.ctx.op == 2): # RSQRT
132
133 # if a is zero return NaN
134 with m.If(a1.is_zero):
135 m.d.comb += self.o.out_do_z.eq(1)
136 m.d.comb += self.o.z.nan(0)
137
138 # -ve number is NaN
139 with m.Elif(a1.s):
140 m.d.comb += self.o.out_do_z.eq(1)
141 m.d.comb += self.o.z.nan(0)
142
143 # if a is inf return zero
144 with m.Elif(a1.is_inf):
145 m.d.comb += self.o.out_do_z.eq(1)
146 m.d.comb += self.o.z.zero(sabx)
147
148 # if a is NaN return NaN
149 with m.Elif(a1.is_nan):
150 m.d.comb += self.o.out_do_z.eq(1)
151 m.d.comb += self.o.z.nan(0)
152
153 # Denormalised Number checks next, so pass a/b data through
154 with m.Else():
155 m.d.comb += self.o.out_do_z.eq(0)
156
157 m.d.comb += self.o.oz.eq(self.o.z.v)
158 m.d.comb += self.o.ctx.eq(self.i.ctx)
159
160 return m
161
162
163 class FPDIVSpecialCases(FPState):
164 """ special cases: NaNs, infs, zeros, denormalised
165 NOTE: some of these are unique to div. see "Special Operations"
166 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
167 """
168
169 def __init__(self, pspec):
170 FPState.__init__(self, "special_cases")
171 self.mod = FPDIVSpecialCasesMod(pspec)
172 self.out_z = self.mod.ospec()
173 self.out_do_z = Signal(reset_less=True)
174
175 def setup(self, m, i):
176 """ links module to inputs and outputs
177 """
178 self.mod.setup(m, i, self.out_do_z)
179 m.d.sync += self.out_z.v.eq(self.mod.out_z.v) # only take the output
180 m.d.sync += self.out_z.mid.eq(self.mod.o.mid) # (and mid)
181
182 def action(self, m):
183 self.idsync(m)
184 with m.If(self.out_do_z):
185 m.next = "put_z"
186 with m.Else():
187 m.next = "denormalise"
188
189
190 class FPDIVSpecialCasesDeNorm(FPState, SimpleHandshake):
191 """ special cases: NaNs, infs, zeros, denormalised
192 """
193
194 def __init__(self, pspec):
195 FPState.__init__(self, "special_cases")
196 self.pspec = pspec
197 SimpleHandshake.__init__(self, self) # pipe is its own stage
198 self.out = self.ospec()
199
200 def ispec(self):
201 return FPADDBaseData(self.pspec) # SpecialCases ispec
202
203 def ospec(self):
204 return FPSCData(self.pspec, False) # Align ospec
205
206 def setup(self, m, i):
207 """ links module to inputs and outputs
208 """
209 smod = FPDIVSpecialCasesMod(self.pspec)
210 dmod = FPAddDeNormMod(self.pspec, False)
211 amod = FPAlignModSingle(self.pspec, False)
212
213 chain = StageChain([smod, dmod, amod])
214 chain.setup(m, i)
215
216 # only needed for break-out (early-out)
217 # self.out_do_z = smod.o.out_do_z
218
219 self.o = amod.o
220
221 def process(self, i):
222 return self.o
223
224 def action(self, m):
225 # for break-out (early-out)
226 #with m.If(self.out_do_z):
227 # m.next = "put_z"
228 #with m.Else():
229 m.d.sync += self.out.eq(self.process(None))
230 m.next = "align"