Remove useless _blinky.build_and_program() function.
[nmigen-boards.git] / nmigen_boards / tinyfpga_bx.py
index 5e272cb61b4563744a00c264856c0a2bd4d88fd5..aefddb7ad4a145e136471eac1c1eefabd00453cb 100644 (file)
@@ -50,5 +50,5 @@ class TinyFPGABXPlatform(LatticeICE40Platform):
 
 
 if __name__ == "__main__":
-    from ._blinky import build_and_program
-    build_and_program(TinyFPGABXPlatform)
+    from ._blinky import Blinky
+    TinyFPGABXPlatform().build(Blinky(), do_program=True)