slow_peripherals.bsv
[pinmux.git] / src / bsv / bsv_lib / slow_peripherals.bsv
index 27a1cc582e14e4051d12aadc96ac238e7e0421c7..0bbbd2743fe6c7488ac8c6bfc5d9c704a2a3b1c6 100644 (file)
@@ -213,8 +213,8 @@ package slow_peripherals;
     `endif
     // NEEL EDIT
     Ifc_pinmux pinmux <- mkpinmux; // mandatory
-    MUX#(3) mymux <- mkmux(); // mandatory. number depends on the number of instances required.
-    GPIO#(3) mygpioa <- mkgpio(); // optional. depends the number of IO pins declared before.
+    MUX#(3) muxa <- mkmux(); // mandatory. number depends on the number of instances required.
+    GPIO#(3) gpioa <- mkgpio(); // optional. depends the number of IO pins declared before.
     // NEEL EDIT OVER
                /*=======================================================*/
 
@@ -268,27 +268,31 @@ package slow_peripherals;
     `endif
 
     // NEEL EDIT
-    mkConnection (slow_fabric.v_from_masters[fromInteger(valueOf(Muxa_slave_num))], mymux.axi_slave);
-    mkConnection (slow_fabric.v_from_masters[fromInteger(valueOf(Gpioa_slave_num))], gpioa.axi_slave);
+    mkConnection (slow_fabric.
+                  v_to_slaves[fromInteger(valueOf(Muxa_slave_num))], 
+                  muxa.axi_slave);
+    mkConnection (slow_fabric.
+                  v_to_slaves[fromInteger(valueOf(Gpioa_slave_num))], 
+                  gpioa.axi_slave);
     rule connect_select_lines_pinmux;// mandatory
-      pinmux.cell0_mux(mymux.mux_config[0]);  
-      pinmux.cell1_mux(mymux.mux_config[1]);  
-      pinmux.cell2_mux(mymux.mux_config[2]);  
+      pinmux.mux_lines.cell0_mux(muxa.mux_config.mux[0]);  
+      pinmux.mux_lines.cell1_mux(muxa.mux_config.mux[1]);  
+      pinmux.mux_lines.cell2_mux(muxa.mux_config.mux[2]);  
     endrule
     rule connect_uart1tx;
-      pinmux.peripheral_side.uart_tx(uart1.coe_rs232.rs232.sout);
+      pinmux.peripheral_side.uart_tx(uart1.coe_rs232.sout);
     endrule
     rule connect_uart1rx;
-      uart1.coe_rs232.rs232.sin(pinmux.peripheral_side.uart_rx);
+      uart1.coe_rs232.sin(pinmux.peripheral_side.uart_rx);
     endrule
     rule connect_gpioa;
-      pinmux.peripheral_side.gpioa_a0_out(gpio.func.gpio_out[0]);
-      pinmux.peripheral_side.gpioa_a0_outen(gpio.func.gpio_out_en[0]);
+      pinmux.peripheral_side.gpioa_a0_out(gpioa.func.gpio_out[0]);
+      pinmux.peripheral_side.gpioa_a0_outen(gpioa.func.gpio_out_en[0]);
                Vector#(3,Bit#(1)) temp;
                temp[0]=pinmux.peripheral_side.gpioa_a0_in;
                temp[1]=pinmux.peripheral_side.gpioa_a1_in;
                temp[2]=pinmux.peripheral_side.gpioa_a2_in;
-      gpio.pad_config.gpio_in(temp);
+      gpioa.func.gpio_in(temp);
     endrule
     // NEEL EDIT OVER
                /*=======================================================*/