AddingPeripherals.mdwn
[pinmux.git] / src / parse.py
index a6875f36cacb60ce6ea0e49ca219d60e8e8c1bb9..b2e017ab2dd54e2c75ea6960fc756a7ed2c6c1cc 100644 (file)
@@ -120,7 +120,13 @@ class Parse(object):
         return int(math.log(max_num_cells + 1, 2))
 
     def get_muxwidth(self, cellnum):
-        return self.muxed_cells_width[cellnum]
+        return self.muxed_cells_width[int(cellnum)]
+
+    def get_muxbitwidth(self, cellnum):
+        wid = self.get_muxwidth(cellnum)
+        if wid == 1:
+            return 0
+        return int(math.log(wid + 1, 2))
 
 
 if __name__ == '__main__':