X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2FTLB%2Fariane%2Fptw.py;h=4046c711ec9eaa29dab619760c4a3a5648f6ea14;hb=24f824be042a6720c5c9ee621e1e3f09efda322e;hp=ce8c774f6ee6b485762660320a294392f8d89c79;hpb=9ff95a317ae379ec9aea16e4637a2fedc44f266c;p=soc.git diff --git a/src/TLB/ariane/ptw.py b/src/TLB/ariane/ptw.py index ce8c774f..4046c711 100644 --- a/src/TLB/ariane/ptw.py +++ b/src/TLB/ariane/ptw.py @@ -133,7 +133,7 @@ class TLBUpdate: self.is_2M = Signal() self.is_1G = Signal() self.is_512G = Signal() - self.vpn = Signal(27) + self.vpn = Signal(36) self.asid = Signal(asid_width) self.content = PTE()