X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fbsv%2Fbsv_lib%2Fslow_peripherals_template.bsv;h=596447121913ade8a5f9003bc5edcd7f203244a6;hb=41378d83fa3d06d3e898a041644fd72b855383a6;hp=42bc8889944a722f5b331aade58d8ea1c8e25589;hpb=20777b37902ee46b7953bde43aaee373aa64ccfb;p=pinmux.git diff --git a/src/bsv/bsv_lib/slow_peripherals_template.bsv b/src/bsv/bsv_lib/slow_peripherals_template.bsv index 42bc888..5964471 100644 --- a/src/bsv/bsv_lib/slow_peripherals_template.bsv +++ b/src/bsv/bsv_lib/slow_peripherals_template.bsv @@ -47,13 +47,13 @@ package slow_peripherals; `endif endinterface interface Ifc_slow_peripherals; - interface AXI4_Slave_IFC#(`PADDR,`Reg_width,`USERSPACE) axi_slave; + interface AXI4_Slave_IFC#(`ADDR,`DATA,`USERSPACE) axi_slave; interface SP_ios slow_ios; method Action external_int(Bit#(32) in); `ifdef CLINT method Bit#(1) msip_int; method Bit#(1) mtip_int; - method Bit#(`Reg_width) mtime; + method Bit#(`DATA) mtime; `endif `ifdef PLIC method ActionValue#(Tuple2#(Bool,Bool)) intrpt_note; `endif interface IOCellSide iocell_side; // mandatory interface @@ -61,7 +61,7 @@ package slow_peripherals; /*================================*/ function Tuple2#(Bool, Bit#(TLog#(Num_Slow_Slaves))) - fn_address_mapping (Bit#(`PADDR) addr); + fn_address_mapping (Bit#(`ADDR) addr); `ifdef CLINT if(addr>=`ClintBase && addr<=`ClintEnd) return tuple2(True,fromInteger(valueOf(CLINT_slave_num))); @@ -106,7 +106,7 @@ package slow_peripherals; Wire#(Bit#(32)) wr_interrupt <- mkWire(); /*=======================================================*/ - AXI4_Lite_Fabric_IFC #(1, Num_Slow_Slaves, `PADDR, `Reg_width,`USERSPACE) + AXI4_Lite_Fabric_IFC #(1, Num_Slow_Slaves, `ADDR, `DATA,`USERSPACE) slow_fabric <- mkAXI4_Lite_Fabric(fn_address_mapping); Ifc_AXI4Lite_AXI4_Bridge bridge<-mkAXI4Lite_AXI4_Bridge(fast_clock,fast_reset);