X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fexperiment%2Fscore6600.py;h=12d4d625f7f0feb4cde64b5a25da88cc84cc72bd;hb=ba68e8c70ded11443bc0cbc407b7aa1993dbc641;hp=f1061106e7966001285d833db1da57cc6d5822ce;hpb=598565150f049215f68e1eb3bf76d908cfda341d;p=soc.git diff --git a/src/experiment/score6600.py b/src/experiment/score6600.py index f1061106..12d4d625 100644 --- a/src/experiment/score6600.py +++ b/src/experiment/score6600.py @@ -7,16 +7,19 @@ from scoreboard.fu_fu_matrix import FUFUDepMatrix from scoreboard.fu_reg_matrix import FURegDepMatrix from scoreboard.global_pending import GlobalPending from scoreboard.group_picker import GroupPicker -from scoreboard.issue_unit import IntFPIssueUnit, RegDecode +from scoreboard.issue_unit import IssueUnitGroup, IssueUnitArray, RegDecode from scoreboard.shadow import ShadowMatrix, BranchSpeculationRecord +from scoreboard.instruction_q import Instruction, InstructionQ from compalu import ComputationUnitNoDelay from alu_hier import ALU, BranchALU from nmutil.latch import SRLatch +from nmutil.nmoperator import eq from random import randint, seed from copy import deepcopy +from math import log class CompUnitsBase(Elaboratable): @@ -26,6 +29,23 @@ class CompUnitsBase(Elaboratable): look after some ALUs (that can handle the same operations), grouping them together, however it turns out that the same code can also group *groups* of Computation Units together as well. + + Basically it was intended just to concatenate the ALU's issue, + go_rd etc. signals together, which start out as bits and become + sequences. Turns out that the same trick works just as well + on Computation Units! + + So this class may be used recursively to present a top-level + sequential concatenation of all the signals in and out of + ALUs, whilst at the same time making it convenient to group + ALUs together. + + At the lower level, the intent is that groups of (identical) + ALUs may be passed the same operation. Even beyond that, + the intent is that that group of (identical) ALUs actually + share the *same pipeline* and as such become a "Concurrent + Computation Unit" as defined by Mitch Alsup (see section + 11.4.9.3) """ def __init__(self, rwid, units): """ Inputs: @@ -35,6 +55,7 @@ class CompUnitsBase(Elaboratable): """ self.units = units self.rwid = rwid + self.rwid = rwid if units and isinstance(units[0], CompUnitsBase): self.n_units = 0 for u in self.units: @@ -60,13 +81,13 @@ class CompUnitsBase(Elaboratable): self.data_o = Signal(rwid, reset_less=True) self.src1_i = Signal(rwid, reset_less=True) self.src2_i = Signal(rwid, reset_less=True) + # input operand def elaborate(self, platform): m = Module() comb = m.d.comb for i, alu in enumerate(self.units): - print ("elaborate comp%d" % i, self, alu) setattr(m.submodules, "comp%d" % i, alu) go_rd_l = [] @@ -112,11 +133,16 @@ class CompUnitsBase(Elaboratable): class CompUnitALUs(CompUnitsBase): - def __init__(self, rwid): + def __init__(self, rwid, opwid): """ Inputs: * :rwid: bit width of register file(s) - both FP and INT + * :opwid: operand bit width """ + self.opwid = opwid + + # inputs + self.oper_i = Signal(opwid, reset_less=True) # Int ALUs add = ALU(rwid) @@ -128,50 +154,52 @@ class CompUnitALUs(CompUnitsBase): for alu in [add, sub, mul, shf]: units.append(ComputationUnitNoDelay(rwid, 2, alu)) - print ("alu units", units) CompUnitsBase.__init__(self, rwid, units) - print ("alu base init done") def elaborate(self, platform): - print ("alu elaborate start") m = CompUnitsBase.elaborate(self, platform) - print ("alu elaborate done") comb = m.d.comb - comb += self.units[0].oper_i.eq(Const(0, 2)) # op=add - comb += self.units[1].oper_i.eq(Const(1, 2)) # op=sub - comb += self.units[2].oper_i.eq(Const(2, 2)) # op=mul - comb += self.units[3].oper_i.eq(Const(3, 2)) # op=shf + # hand the same operation to all units + for alu in self.units: + comb += alu.oper_i.eq(self.oper_i) + #comb += self.units[0].oper_i.eq(Const(0, 2)) # op=add + #comb += self.units[1].oper_i.eq(Const(1, 2)) # op=sub + #comb += self.units[2].oper_i.eq(Const(2, 2)) # op=mul + #comb += self.units[3].oper_i.eq(Const(3, 2)) # op=shf return m class CompUnitBR(CompUnitsBase): - def __init__(self, rwid): + def __init__(self, rwid, opwid): """ Inputs: * :rwid: bit width of register file(s) - both FP and INT + * :opwid: operand bit width Note: bgt unit is returned so that a shadow unit can be created for it - """ + self.opwid = opwid + + # inputs + self.oper_i = Signal(opwid, reset_less=True) # Branch ALU and CU self.bgt = BranchALU(rwid) self.br1 = ComputationUnitNoDelay(rwid, 3, self.bgt) - print ("br units", [self.br1]) CompUnitsBase.__init__(self, rwid, [self.br1]) - print ("br base init done") def elaborate(self, platform): - print ("br elaborate start") m = CompUnitsBase.elaborate(self, platform) - print ("br elaborate done") comb = m.d.comb - comb += self.br1.oper_i.eq(Const(4, 3)) # op=bgt + # hand the same operation to all units + for alu in self.units: + comb += alu.oper_i.eq(self.oper_i) + #comb += self.br1.oper_i.eq(Const(4, 3)) # op=bgt return m @@ -210,13 +238,13 @@ class FunctionUnits(Elaboratable): comb = m.d.comb sync = m.d.sync - n_int_fus = self.n_int_alus + n_intfus = self.n_int_alus # Integer FU-FU Dep Matrix - intfudeps = FUFUDepMatrix(n_int_fus, n_int_fus) + intfudeps = FUFUDepMatrix(n_intfus, n_intfus) m.submodules.intfudeps = intfudeps # Integer FU-Reg Dep Matrix - intregdeps = FURegDepMatrix(n_int_fus, self.n_regs) + intregdeps = FURegDepMatrix(n_intfus, self.n_regs) m.submodules.intregdeps = intregdeps comb += self.g_int_rd_pend_o.eq(intregdeps.rd_rsel_o) @@ -267,6 +295,13 @@ class Scoreboard(Elaboratable): self.intregs = RegFileArray(rwid, n_regs) self.fpregs = RegFileArray(rwid, n_regs) + # issue q needs to get at these + self.aluissue = IssueUnitGroup(4) + self.brissue = IssueUnitGroup(1) + # and these + self.alu_oper_i = Signal(4, reset_less=True) + self.br_oper_i = Signal(4, reset_less=True) + # inputs self.int_dest_i = Signal(max=n_regs, reset_less=True) # Dest R# in self.int_src1_i = Signal(max=n_regs, reset_less=True) # oper1 R# in @@ -304,8 +339,8 @@ class Scoreboard(Elaboratable): # Int ALUs and Comp Units n_int_alus = 5 - cua = CompUnitALUs(self.rwid) - cub = CompUnitBR(self.rwid) + cua = CompUnitALUs(self.rwid, 2) + cub = CompUnitBR(self.rwid, 2) m.submodules.cu = cu = CompUnitsBase(self.rwid, [cua, cub]) bgt = cub.bgt # get at the branch computation unit br1 = cub.br1 @@ -314,33 +349,33 @@ class Scoreboard(Elaboratable): m.submodules.intfus = intfus = FunctionUnits(self.n_regs, n_int_alus) # Count of number of FUs - n_int_fus = n_int_alus + n_intfus = n_int_alus n_fp_fus = 0 # for now # Integer Priority Picker 1: Adder + Subtractor - intpick1 = GroupPicker(n_int_fus) # picks between add, sub, mul and shf + intpick1 = GroupPicker(n_intfus) # picks between add, sub, mul and shf m.submodules.intpick1 = intpick1 # INT/FP Issue Unit regdecode = RegDecode(self.n_regs) m.submodules.regdecode = regdecode - issueunit = IntFPIssueUnit(n_int_fus, n_fp_fus) + issueunit = IssueUnitArray([self.aluissue, self.brissue]) m.submodules.issueunit = issueunit - # Shadow Matrix. currently n_int_fus shadows, to be used for + # Shadow Matrix. currently n_intfus shadows, to be used for # write-after-write hazards. NOTE: there is one extra for branches, # so the shadow width is increased by 1 - m.submodules.shadows = shadows = ShadowMatrix(n_int_fus, n_int_fus, True) - m.submodules.bshadow = bshadow = ShadowMatrix(n_int_fus, 1, False) + m.submodules.shadows = shadows = ShadowMatrix(n_intfus, n_intfus, True) + m.submodules.bshadow = bshadow = ShadowMatrix(n_intfus, 1, False) # record previous instruction to cast shadow on current instruction - fn_issue_prev = Signal(n_int_fus) - prev_shadow = Signal(n_int_fus) + fn_issue_prev = Signal(n_intfus) + prev_shadow = Signal(n_intfus) # Branch Speculation recorder. tracks the success/fail state as # each instruction is issued, so that when the branch occurs the # allow/cancel can be issued as appropriate. - m.submodules.specrec = bspec = BranchSpeculationRecord(n_int_fus) + m.submodules.specrec = bspec = BranchSpeculationRecord(n_intfus) #--------- # ok start wiring things together... @@ -357,7 +392,10 @@ class Scoreboard(Elaboratable): regdecode.enable_i.eq(self.reg_enable_i), self.issue_o.eq(issueunit.issue_o) ] - self.int_insn_i = issueunit.i.insn_i # enabled by instruction decode + + # take these to outside (issue needs them) + comb += cua.oper_i.eq(self.alu_oper_i) + comb += cub.oper_i.eq(self.br_oper_i) # TODO: issueunit.f (FP) @@ -366,21 +404,21 @@ class Scoreboard(Elaboratable): comb += intfus.src1_i.eq(regdecode.src1_o) comb += intfus.src2_i.eq(regdecode.src2_o) - fn_issue_o = issueunit.i.fn_issue_o + fn_issue_o = issueunit.fn_issue_o comb += intfus.fn_issue_i.eq(fn_issue_o) - comb += issueunit.i.busy_i.eq(cu.busy_o) + comb += issueunit.busy_i.eq(cu.busy_o) comb += self.busy_o.eq(cu.busy_o.bool()) #--------- # merge shadow matrices outputs #--------- - + # these are explained in ShadowMatrix docstring, and are to be # connected to the FUReg and FUFU Matrices, to get them to reset - anydie = Signal(n_int_fus, reset_less=True) - allshadown = Signal(n_int_fus, reset_less=True) - shreset = Signal(n_int_fus, reset_less=True) + anydie = Signal(n_intfus, reset_less=True) + allshadown = Signal(n_intfus, reset_less=True) + shreset = Signal(n_intfus, reset_less=True) comb += allshadown.eq(shadows.shadown_o & bshadow.shadown_o) comb += anydie.eq(shadows.go_die_o | bshadow.go_die_o) comb += shreset.eq(bspec.match_g_o | bspec.match_f_o) @@ -396,32 +434,32 @@ class Scoreboard(Elaboratable): go_wr_i = intfus.go_wr_i go_die_i = intfus.go_die_i # NOTE: connect to the shadowed versions so that they can "die" (reset) - comb += go_rd_i[0:n_int_fus].eq(go_rd_o[0:n_int_fus]) # rd - comb += go_wr_i[0:n_int_fus].eq(go_wr_o[0:n_int_fus]) # wr - comb += go_die_i[0:n_int_fus].eq(anydie[0:n_int_fus]) # die + comb += go_rd_i[0:n_intfus].eq(go_rd_o[0:n_intfus]) # rd + comb += go_wr_i[0:n_intfus].eq(go_wr_o[0:n_intfus]) # wr + comb += go_die_i[0:n_intfus].eq(anydie[0:n_intfus]) # die # Connect Picker #--------- - comb += intpick1.rd_rel_i[0:n_int_fus].eq(cu.rd_rel_o[0:n_int_fus]) - comb += intpick1.req_rel_i[0:n_int_fus].eq(cu.req_rel_o[0:n_int_fus]) + comb += intpick1.rd_rel_i[0:n_intfus].eq(cu.rd_rel_o[0:n_intfus]) + comb += intpick1.req_rel_i[0:n_intfus].eq(cu.req_rel_o[0:n_intfus]) int_rd_o = intfus.readable_o int_wr_o = intfus.writable_o - comb += intpick1.readable_i[0:n_int_fus].eq(int_rd_o[0:n_int_fus]) - comb += intpick1.writable_i[0:n_int_fus].eq(int_wr_o[0:n_int_fus]) + comb += intpick1.readable_i[0:n_intfus].eq(int_rd_o[0:n_intfus]) + comb += intpick1.writable_i[0:n_intfus].eq(int_wr_o[0:n_intfus]) #--------- # Shadow Matrix #--------- comb += shadows.issue_i.eq(fn_issue_o) - #comb += shadows.reset_i[0:n_int_fus].eq(bshadow.go_die_o[0:n_int_fus]) - comb += shadows.reset_i[0:n_int_fus].eq(bshadow.go_die_o[0:n_int_fus]) + #comb += shadows.reset_i[0:n_intfus].eq(bshadow.go_die_o[0:n_intfus]) + comb += shadows.reset_i[0:n_intfus].eq(bshadow.go_die_o[0:n_intfus]) #--------- # NOTE; this setup is for the instruction order preservation... # connect shadows / go_dies to Computation Units - comb += cu.shadown_i[0:n_int_fus].eq(allshadown) - comb += cu.go_die_i[0:n_int_fus].eq(anydie) + comb += cu.shadown_i[0:n_intfus].eq(allshadown) + comb += cu.go_die_i[0:n_intfus].eq(anydie) # ok connect first n_int_fu shadows to busy lines, to create an # instruction-order linked-list-like arrangement, using a bit-matrix @@ -429,8 +467,8 @@ class Scoreboard(Elaboratable): # XXX TODO # when written, the shadow can be cancelled (and was good) - for i in range(n_int_fus): - comb += shadows.s_good_i[i][0:n_int_fus].eq(go_wr_o[0:n_int_fus]) + for i in range(n_intfus): + comb += shadows.s_good_i[i][0:n_intfus].eq(go_wr_o[0:n_intfus]) # work out the current-activated busy unit (by recording the old one) with m.If(fn_issue_o): # only update prev bit if instruction issued @@ -439,16 +477,16 @@ class Scoreboard(Elaboratable): # *previous* instruction shadows *current* instruction, and, obviously, # if the previous is completed (!busy) don't cast the shadow! comb += prev_shadow.eq(~fn_issue_o & cu.busy_o) - for i in range(n_int_fus): - comb += shadows.shadow_i[i][0:n_int_fus].eq(prev_shadow) + for i in range(n_intfus): + comb += shadows.shadow_i[i][0:n_intfus].eq(prev_shadow) #--------- # ... and this is for branch speculation. it uses the extra bit - # tacked onto the ShadowMatrix (hence shadow_wid=n_int_fus+1) + # tacked onto the ShadowMatrix (hence shadow_wid=n_intfus+1) # only needs to set shadow_i, s_fail_i and s_good_i # issue captures shadow_i (if enabled) - comb += bshadow.reset_i[0:n_int_fus].eq(shreset[0:n_int_fus]) + comb += bshadow.reset_i[0:n_intfus].eq(shreset[0:n_intfus]) bactive = Signal(reset_less=True) comb += bactive.eq((bspec.active_i | br1.issue_i) & ~br1.go_wr_i) @@ -456,7 +494,7 @@ class Scoreboard(Elaboratable): # instruction being issued (fn_issue_o) has a shadow cast by the branch with m.If(bactive & (self.branch_succ_i | self.branch_fail_i)): comb += bshadow.issue_i.eq(fn_issue_o) - for i in range(n_int_fus): + for i in range(n_intfus): with m.If(fn_issue_o & (Const(1<