X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fmain%2Fscala%2Fdevices%2Fuart%2FUARTPeriphery.scala;h=00e5fdd856e5003fda3062050b8fe172a07d85f0;hb=015f87ec6b355c0876955b174d5b64300569d68d;hp=105592d2042e7a6f19d265d37c45f3e5ff771c46;hpb=06f0d2074237f3fa23275446f1ee74614e61f96e;p=sifive-blocks.git diff --git a/src/main/scala/devices/uart/UARTPeriphery.scala b/src/main/scala/devices/uart/UARTPeriphery.scala index 105592d..00e5fdd 100644 --- a/src/main/scala/devices/uart/UARTPeriphery.scala +++ b/src/main/scala/devices/uart/UARTPeriphery.scala @@ -4,20 +4,20 @@ package sifive.blocks.devices.uart import Chisel._ import chisel3.experimental.{withClockAndReset} import freechips.rocketchip.config.Field +import freechips.rocketchip.coreplex.{HasPeripheryBus, PeripheryBusParams, HasInterruptBus} import freechips.rocketchip.diplomacy.{LazyModule, LazyMultiIOModuleImp} -import freechips.rocketchip.chip.HasSystemNetworks -import freechips.rocketchip.tilelink.TLFragmenter -import sifive.blocks.devices.pinctrl.{Pin, PinCtrl} +import sifive.blocks.devices.pinctrl.{Pin} import sifive.blocks.util.ShiftRegisterInit case object PeripheryUARTKey extends Field[Seq[UARTParams]] -trait HasPeripheryUART extends HasSystemNetworks { - val uartParams = p(PeripheryUARTKey) +trait HasPeripheryUART extends HasPeripheryBus with HasInterruptBus { + private val divinit = (p(PeripheryBusParams).frequency / 115200).toInt + val uartParams = p(PeripheryUARTKey).map(_.copy(divisorInit = divinit)) val uarts = uartParams map { params => - val uart = LazyModule(new TLUART(peripheryBusBytes, params)) - uart.node := TLFragmenter(peripheryBusBytes, cacheBlockBytes)(peripheryBus.node) - intBus.intnode := uart.intnode + val uart = LazyModule(new TLUART(pbus.beatBytes, params)) + uart.node := pbus.toVariableWidthSlaves + ibus.fromSync := uart.intnode uart } } @@ -47,7 +47,7 @@ class UARTPins[T <: Pin] (pingen: () => T) extends Bundle { override def cloneType: this.type = this.getClass.getConstructors.head.newInstance(pingen).asInstanceOf[this.type] - def fromUARTPort(uart: UARTPortIO, clock: Clock, reset: Bool, syncStages: Int = 0) { + def fromPort(uart: UARTPortIO, clock: Clock, reset: Bool, syncStages: Int = 0) { withClockAndReset(clock, reset) { txd.outputPin(uart.txd) val rxd_t = rxd.inputPin()