X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fmain%2Fscala%2Fdevices%2Fuart%2FUARTPeriphery.scala;h=d1db77b9d4ab222b10f84dc012b14e09dc52a9db;hb=4fcf349adb9e66ea7d8cc5394de5d3e0a2340985;hp=58c61f5d0825a4f0d3c6df0d8e320b4208584215;hpb=4d74e8f67f871df93f7bb2dfb2fa8bffb641fc4a;p=sifive-blocks.git diff --git a/src/main/scala/devices/uart/UARTPeriphery.scala b/src/main/scala/devices/uart/UARTPeriphery.scala index 58c61f5..d1db77b 100644 --- a/src/main/scala/devices/uart/UARTPeriphery.scala +++ b/src/main/scala/devices/uart/UARTPeriphery.scala @@ -2,51 +2,38 @@ package sifive.blocks.devices.uart import Chisel._ +import chisel3.experimental.{withClockAndReset} import freechips.rocketchip.config.Field -import freechips.rocketchip.diplomacy.{LazyModule, LazyMultiIOModuleImp} -import freechips.rocketchip.chip.HasSystemNetworks -import freechips.rocketchip.tilelink.TLFragmenter -import sifive.blocks.devices.pinctrl.{Pin, PinCtrl} -import sifive.blocks.util.ShiftRegisterInit +import freechips.rocketchip.coreplex.{HasPeripheryBus, PeripheryBusKey, HasInterruptBus} +import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} case object PeripheryUARTKey extends Field[Seq[UARTParams]] -trait HasPeripheryUART extends HasSystemNetworks { - val uartParams = p(PeripheryUARTKey) +trait HasPeripheryUART extends HasPeripheryBus with HasInterruptBus { + private val divinit = (p(PeripheryBusKey).frequency / 115200).toInt + val uartParams = p(PeripheryUARTKey).map(_.copy(divisorInit = divinit)) val uarts = uartParams map { params => - val uart = LazyModule(new TLUART(peripheryBusBytes, params)) - uart.node := TLFragmenter(peripheryBusBytes, cacheBlockBytes)(peripheryBus.node) - intBus.intnode := uart.intnode + val uart = LazyModule(new TLUART(pbus.beatBytes, params)) + uart.node := pbus.toVariableWidthSlaves + ibus.fromSync := uart.intnode uart } } trait HasPeripheryUARTBundle { - val uarts: Vec[UARTPortIO] + val uart: Vec[UARTPortIO] def tieoffUARTs(dummy: Int = 1) { - uarts.foreach { _.rxd := UInt(1) } + uart.foreach { _.rxd := UInt(1) } } } -trait HasPeripheryUARTModuleImp extends LazyMultiIOModuleImp with HasPeripheryUARTBundle { +trait HasPeripheryUARTModuleImp extends LazyModuleImp with HasPeripheryUARTBundle { val outer: HasPeripheryUART - val uarts = IO(Vec(outer.uartParams.size, new UARTPortIO)) + val uart = IO(Vec(outer.uartParams.size, new UARTPortIO)) - (uarts zip outer.uarts).foreach { case (io, device) => + (uart zip outer.uarts).foreach { case (io, device) => io <> device.module.io.port } } - -class UARTPins(pingen: () => Pin) extends Bundle { - val rxd = pingen() - val txd = pingen() - - def fromUARTPort(uart: UARTPortIO, syncStages: Int = 0) { - txd.outputPin(uart.txd) - val rxd_t = rxd.inputPin() - uart.rxd := ShiftRegisterInit(rxd_t, syncStages, Bool(true)) - } -} -