X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fmain%2Fscala%2Fdevices%2Fuart%2FUARTPeriphery.scala;h=d94d5180941502ff3e3bc0f872d248f582c973d0;hb=ef4f2ed888cd614858c6b2647c1eb6f988ff3973;hp=e01eb9f4b70ad6801c528cab34ebbd834a516b18;hpb=8bfda688581488468611dbc46c750fd0910a6e5a;p=sifive-blocks.git diff --git a/src/main/scala/devices/uart/UARTPeriphery.scala b/src/main/scala/devices/uart/UARTPeriphery.scala index e01eb9f..d94d518 100644 --- a/src/main/scala/devices/uart/UARTPeriphery.scala +++ b/src/main/scala/devices/uart/UARTPeriphery.scala @@ -2,12 +2,12 @@ package sifive.blocks.devices.uart import Chisel._ -import config.Field -import diplomacy.{LazyModule, LazyMultiIOModuleImp} -import rocketchip.HasSystemNetworks -import uncore.tilelink2.TLFragmenter - -import sifive.blocks.devices.gpio.{GPIOPin, GPIOOutputPinCtrl, GPIOInputPinCtrl} +import chisel3.experimental.{withClockAndReset} +import freechips.rocketchip.config.Field +import freechips.rocketchip.diplomacy.{LazyModule, LazyMultiIOModuleImp} +import freechips.rocketchip.chip.HasSystemNetworks +import freechips.rocketchip.tilelink.TLFragmenter +import sifive.blocks.devices.pinctrl.{Pin, PinCtrl} import sifive.blocks.util.ShiftRegisterInit case object PeripheryUARTKey extends Field[Seq[UARTParams]] @@ -23,40 +23,33 @@ trait HasPeripheryUART extends HasSystemNetworks { } trait HasPeripheryUARTBundle { - val uarts: Vec[UARTPortIO] + val uart: Vec[UARTPortIO] def tieoffUARTs(dummy: Int = 1) { - uarts.foreach { _.rxd := UInt(1) } + uart.foreach { _.rxd := UInt(1) } } - def UARTtoGPIOPins(syncStages: Int = 0): Seq[UARTPinsIO] = uarts.map { u => - val pins = Module(new UARTGPIOPort(syncStages)) - pins.io.uart <> u - pins.io.pins - } } trait HasPeripheryUARTModuleImp extends LazyMultiIOModuleImp with HasPeripheryUARTBundle { val outer: HasPeripheryUART - val uarts = IO(Vec(outer.uartParams.size, new UARTPortIO)) + val uart = IO(Vec(outer.uartParams.size, new UARTPortIO)) - (uarts zip outer.uarts).foreach { case (io, device) => + (uart zip outer.uarts).foreach { case (io, device) => io <> device.module.io.port } } -class UARTPinsIO extends Bundle { - val rxd = new GPIOPin - val txd = new GPIOPin -} +class UARTPins[T <: Pin] (pingen: () => T) extends Bundle { + val rxd = pingen() + val txd = pingen() -class UARTGPIOPort(syncStages: Int = 0) extends Module { - val io = new Bundle{ - val uart = new UARTPortIO().flip() - val pins = new UARTPinsIO + def fromUARTPort(uart: UARTPortIO, clock: Clock, reset: Bool, syncStages: Int = 0) { + withClockAndReset(clock, reset) { + txd.outputPin(uart.txd) + val rxd_t = rxd.inputPin() + uart.rxd := ShiftRegisterInit(rxd_t, syncStages, Bool(true)) + } } - - GPIOOutputPinCtrl(io.pins.txd, io.uart.txd) - val rxd = GPIOInputPinCtrl(io.pins.rxd) - io.uart.rxd := ShiftRegisterInit(rxd, syncStages, Bool(true)) } +