X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Frgbttl%2Frgbttl_dummy.bsv;h=db1da4cf95e20af9406c9abffad031de523893e0;hb=8f6c5701048b60dc458088a79e206a1c2ef49d5f;hp=0a4a7d87a03448fa3fdf11fc4f92262c80fde54b;hpb=4126714e1df84a5e5df18f46b5cc773522d4e02e;p=shakti-peripherals.git diff --git a/src/peripherals/rgbttl/rgbttl_dummy.bsv b/src/peripherals/rgbttl/rgbttl_dummy.bsv index 0a4a7d8..db1da4c 100644 --- a/src/peripherals/rgbttl/rgbttl_dummy.bsv +++ b/src/peripherals/rgbttl/rgbttl_dummy.bsv @@ -31,6 +31,7 @@ Details: package rgbttl_dummy; `define RGBTTL_WIDTH 18 `include "instance_defines.bsv" + import GetPut::*; import ClockDiv::*; import ConcatReg::*; import Semi_FIFOF::*; @@ -39,11 +40,11 @@ package rgbttl_dummy; interface Ifc_rgbttl_dummy; interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave; - method Bit#(1) de; - method Bit#(1) ck; - method Bit#(1) vs; - method Bit#(1) hs; - method Bit#(`RGBTTL_WIDTH) data; + interface Get#(Bit#(1)) de; + interface Get#(Bit#(1)) ck; + interface Get#(Bit#(1)) vs; + interface Get#(Bit#(1)) hs; + interface Get#(Bit#(`RGBTTL_WIDTH)) data_out; endinterface (*synthesize*) @@ -57,11 +58,36 @@ package rgbttl_dummy; Reg#(Bit#(1)) rg_hs <- mkReg(0); Reg#(Bit#(`RGBTTL_WIDTH)) rg_data <- mkReg(0); - method de = rg_de; - method ck = rg_ck; - method vs = rg_vs; - method hs = rg_hs; - method data = rg_data; - interface slave=s_xactor.axi_side; + interface de = interface Get + method ActionValue#(Bit#(1)) get; + return rg_de; + endmethod + endinterface; + + interface ck = interface Get + method ActionValue#(Bit#(1)) get; + return rg_ck; + endmethod + endinterface; + + interface vs = interface Get + method ActionValue#(Bit#(1)) get; + return rg_vs; + endmethod + endinterface; + + interface hs = interface Get + method ActionValue#(Bit#(1)) get; + return rg_hs; + endmethod + endinterface; + + interface data = interface Get + method ActionValue#(Bit#(`RGBTTL_WIDTH)) get; + return data_out; + endmethod + endinterface; + + interface slave=s_xactor.axi_side; endmodule endpackage