X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Fspi%2Fspi.bsv;h=2f639d670ad117af7b8c302cc872563bb632cdfc;hb=f91679ac65fb4552d3110f14dffd84e066c99fef;hp=dc40722d6b89202e5aeb1b25cb285069dd825593;hpb=4258fa3e9add7b6261b705aeda08ff26cb231f65;p=shakti-peripherals.git diff --git a/src/peripherals/spi/spi.bsv b/src/peripherals/spi/spi.bsv index dc40722..2f639d6 100644 --- a/src/peripherals/spi/spi.bsv +++ b/src/peripherals/spi/spi.bsv @@ -31,6 +31,9 @@ SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. package spi; + import AXI4_Lite_Types :: *; + import AXI4_Lite_Fabric :: *; + import GetPut::*; import qspi::*; `include "instance_defines.bsv" @@ -38,10 +41,10 @@ package spi; (*always_ready, always_enabled*) interface SPI_out; interface Get#(Bit#(1)) clk_o; - interface Get#(Bit#(1)) io_out; method Bit#(9) io0_sdio_ctrl; method Bit#(9) io1_sdio_ctrl; // index 0 is MOSI, index 1 is MISO. + interface Get#(Bit#(2)) io_out; interface Get#(Bit#(2)) io_out_en; interface Put#(Bit#(2)) io_in; interface Get#(Bit#(1)) ncs_o; @@ -60,7 +63,7 @@ package spi; Ifc_qspi qspi <- mkqspi(); - interface SPI_out out; + interface out = interface SPI_out method Bit#(9) io0_sdio_ctrl; return qspi.out.io0_sdio_ctrl; endmethod @@ -69,12 +72,20 @@ package spi; endmethod interface io_out = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out[1:0]; + ActionValue#(Bit#(4)) temp2 = qspi.out.io_out.get; + Bit#(2) temp; + temp[0] = temp2[0]; + temp[1] = temp2[1]; + return temp; endmethod endinterface; interface io_out_en = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out_en[1:0]; + ActionValue#(Bit#(4)) temp2 = qspi.out.io_out_en.get; + Bit#(2) temp; + temp[0] = temp2[0]; + temp[1] = temp2[1]; + return temp; endmethod endinterface; interface io_in = interface Put @@ -84,15 +95,12 @@ package spi; temp[2] = 0; temp[1] = in[1]; temp[0] = in[0]; - qspi.out.io_in(temp); + qspi.out.io_in.put(temp); endmethod endinterface; interface clk_o = qspi.out.clk_o; - interface io_out = qspi.out.io_out; - interface io_out_en = qspi.out.io_out_en; - interface io_in = qspi.out.io_in; - interface ncs_o = qspi.ncs_o; - endinterface + interface ncs_o = qspi.out.ncs_o; + endinterface; interface slave = qspi.slave; @@ -101,4 +109,5 @@ package spi; return qspi.interripts; endmethod + endmodule endpackage