X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Fspi%2Fspi.bsv;h=56a0965a520d7aef98b182ec2cbee56e7e24846a;hb=c262af4c1d675df4a95eed876f79825b585f7383;hp=81be0501732e7fe69d6612aad80b7b002dbc2944;hpb=63172c052a541b71abb9aaeb451169c67cf237bf;p=shakti-peripherals.git diff --git a/src/peripherals/spi/spi.bsv b/src/peripherals/spi/spi.bsv index 81be050..56a0965 100644 --- a/src/peripherals/spi/spi.bsv +++ b/src/peripherals/spi/spi.bsv @@ -31,6 +31,9 @@ SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. package spi; + import AXI4_Lite_Types :: *; + import AXI4_Lite_Fabric :: *; + import GetPut::*; import qspi::*; `include "instance_defines.bsv" @@ -69,12 +72,20 @@ package spi; endmethod interface io_out = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out[1:0]; + let temp2 <- qspi.out.io_out.get; + Bit#(2) temp; + temp[0] = temp2[0]; + temp[1] = temp2[1]; + return temp; endmethod endinterface; interface io_out_en = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out_en[1:0]; + let temp2 <- qspi.out.io_out_en.get; + Bit#(2) temp; + temp[0] = temp2[0]; + temp[1] = temp2[1]; + return temp; endmethod endinterface; interface io_in = interface Put @@ -84,18 +95,18 @@ package spi; temp[2] = 0; temp[1] = in[1]; temp[0] = in[0]; - qspi.out.io_in(temp); + qspi.out.io_in.put(temp); endmethod endinterface; interface clk_o = qspi.out.clk_o; - interface ncs_o = qspi.ncs_o; + interface ncs_o = qspi.out.ncs_o; endinterface; interface slave = qspi.slave; // 0=TOF, 1=SMF, 2=Threshold, 3=TCF, 4=TEF 5=request_ready method Bit#(6) interrupts; - return qspi.interripts; + return qspi.interrupts; endmethod endmodule