X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Fspi%2Fspi.bsv;h=aa9007cdb4e53bd2bc6b570c2f8371bb8ef23246;hb=52a6de3bee973591be83883c257877a22e4f955a;hp=6c3c13f9a0417d29f9eb2c32fdd8c66e3a9b73d6;hpb=c4efa63c0a1cf95a7d470457caf254f0e6e3e7e9;p=shakti-peripherals.git diff --git a/src/peripherals/spi/spi.bsv b/src/peripherals/spi/spi.bsv index 6c3c13f..aa9007c 100644 --- a/src/peripherals/spi/spi.bsv +++ b/src/peripherals/spi/spi.bsv @@ -31,6 +31,7 @@ SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. package spi; + import GetPut::*; import qspi::*; `include "instance_defines.bsv" @@ -38,10 +39,10 @@ package spi; (*always_ready, always_enabled*) interface SPI_out; interface Get#(Bit#(1)) clk_o; - interface Get#(Bit#(1)) io_out; method Bit#(9) io0_sdio_ctrl; method Bit#(9) io1_sdio_ctrl; // index 0 is MOSI, index 1 is MISO. + interface Get#(Bit#(2)) io_out; interface Get#(Bit#(2)) io_out_en; interface Put#(Bit#(2)) io_in; interface Get#(Bit#(1)) ncs_o; @@ -60,7 +61,7 @@ package spi; Ifc_qspi qspi <- mkqspi(); - interface SPI_out out; + interface out = interface SPI_out method Bit#(9) io0_sdio_ctrl; return qspi.out.io0_sdio_ctrl; endmethod @@ -79,16 +80,17 @@ package spi; endinterface; interface io_in = interface Put method Action put(Bit#(2) in); - Bit(#4) temp = { 0, 0, in[1], in[0] }; + Bit#(4) temp; + temp[3] = 0; + temp[2] = 0; + temp[1] = in[1]; + temp[0] = in[0]; qspi.out.io_in(temp); endmethod endinterface; interface clk_o = qspi.out.clk_o; - interface io_out = qspi.out.io_out; - interface io_out_en = qspi.out.io_out_en; - interface io_in = qspi.out.io_in; interface ncs_o = qspi.ncs_o; - endinterface + endinterface; interface slave = qspi.slave; @@ -97,4 +99,5 @@ package spi; return qspi.interripts; endmethod + endmodule endpackage