X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Fspi%2Fspi.bsv;h=aa9007cdb4e53bd2bc6b570c2f8371bb8ef23246;hb=52a6de3bee973591be83883c257877a22e4f955a;hp=dc40722d6b89202e5aeb1b25cb285069dd825593;hpb=4258fa3e9add7b6261b705aeda08ff26cb231f65;p=shakti-peripherals.git diff --git a/src/peripherals/spi/spi.bsv b/src/peripherals/spi/spi.bsv index dc40722..aa9007c 100644 --- a/src/peripherals/spi/spi.bsv +++ b/src/peripherals/spi/spi.bsv @@ -31,6 +31,7 @@ SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. package spi; + import GetPut::*; import qspi::*; `include "instance_defines.bsv" @@ -38,10 +39,10 @@ package spi; (*always_ready, always_enabled*) interface SPI_out; interface Get#(Bit#(1)) clk_o; - interface Get#(Bit#(1)) io_out; method Bit#(9) io0_sdio_ctrl; method Bit#(9) io1_sdio_ctrl; // index 0 is MOSI, index 1 is MISO. + interface Get#(Bit#(2)) io_out; interface Get#(Bit#(2)) io_out_en; interface Put#(Bit#(2)) io_in; interface Get#(Bit#(1)) ncs_o; @@ -60,7 +61,7 @@ package spi; Ifc_qspi qspi <- mkqspi(); - interface SPI_out out; + interface out = interface SPI_out method Bit#(9) io0_sdio_ctrl; return qspi.out.io0_sdio_ctrl; endmethod @@ -88,11 +89,8 @@ package spi; endmethod endinterface; interface clk_o = qspi.out.clk_o; - interface io_out = qspi.out.io_out; - interface io_out_en = qspi.out.io_out_en; - interface io_in = qspi.out.io_in; interface ncs_o = qspi.ncs_o; - endinterface + endinterface; interface slave = qspi.slave; @@ -101,4 +99,5 @@ package spi; return qspi.interripts; endmethod + endmodule endpackage