X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Fspi%2Fspi.bsv;h=f427e28c5f62415b539eeb6c65cb6d05a3c91d48;hb=11a667017572d577c18e1b595f41510efa600353;hp=71204d54e803d904f5210141d42ced27fd13400d;hpb=7891328beac15dfc7b1fee0c52cde98a92af6243;p=shakti-peripherals.git diff --git a/src/peripherals/spi/spi.bsv b/src/peripherals/spi/spi.bsv index 71204d5..f427e28 100644 --- a/src/peripherals/spi/spi.bsv +++ b/src/peripherals/spi/spi.bsv @@ -31,6 +31,9 @@ SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. package spi; + import AXI4_Lite_Types :: *; + import AXI4_Lite_Fabric :: *; + import GetPut::*; import qspi::*; `include "instance_defines.bsv" @@ -60,7 +63,7 @@ package spi; Ifc_qspi qspi <- mkqspi(); - interface SPI_out out; + interface out = interface SPI_out method Bit#(9) io0_sdio_ctrl; return qspi.out.io0_sdio_ctrl; endmethod @@ -69,12 +72,18 @@ package spi; endmethod interface io_out = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out[1:0]; + Bit#(2) temp; + temp[1] = qspi.out.io_out[1]; + temp[0] = qspi.out.io_out[0]; + return temp; endmethod endinterface; interface io_out_en = interface Get method ActionValue#(Bit#(2)) get; - return qspi.out.io_out_en[1:0]; + Bit#(2) temp; + temp[1] = qspi.out.io_out_en[1]; + temp[0] = qspi.out.io_out_en[0]; + return temp; endmethod endinterface; interface io_in = interface Put @@ -89,7 +98,7 @@ package spi; endinterface; interface clk_o = qspi.out.clk_o; interface ncs_o = qspi.ncs_o; - endinterface + endinterface; interface slave = qspi.slave; @@ -98,4 +107,5 @@ package spi; return qspi.interripts; endmethod + endmodule endpackage