X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fsoc%2Fexperiment%2Fformal%2Fproof_compalu_multi.py;h=96b61a2b6a0375d37347a5ba19d675900ef97a40;hb=refs%2Fheads%2Fmaster;hp=bf6d2615b8142c15561cc63ca946ce718547e227;hpb=5aa0ab1da7681952e5e6424800c0f80b84826695;p=soc.git diff --git a/src/soc/experiment/formal/proof_compalu_multi.py b/src/soc/experiment/formal/proof_compalu_multi.py index bf6d2615..96b61a2b 100644 --- a/src/soc/experiment/formal/proof_compalu_multi.py +++ b/src/soc/experiment/formal/proof_compalu_multi.py @@ -153,7 +153,7 @@ class CompALUMultiTestCase(FHDLTestCase): m.d.sync += cnt.eq(cnt + do_masked_read[i]) cnt_masked_read.append(cnt) # If the ALU is idle, do not assert valid - with m.If(cnt_alu_read == cnt_alu_write): + with m.If((cnt_alu_read == cnt_alu_write) & ~do_alu_write): m.d.comb += Assume(~alu.n.o_valid) # Keep ALU valid high, until read last_alu_valid = Signal()